Intel


2024-01-19

[News] TSMC Actively Increases 2-Nanometer Production Capacity Planning, Market Expects Explosive Demand

TSMC announced during its briefing on the 18th that, due to robust demand in the 2-nanometer market, it plans to add another fab to the initially planned two fabs in Kaohsiung.

The company intends to use the 2-nanometer process for all three fabs in Kaohsiung, in addition to the originally planned 2-nanometer fab in Hsinchu’s Baoshan. Furthermore, the land recently acquired in Hsinchu Science Park will also be designated for a 2-nanometer fab. This reflects the strong preference for the 2-nanometer process among customers and underscores TSMC’s confidence in its in-house 2-nanometer process technology.

According to a report by TechNews following the briefing on the 18th, TSMC’s CFO Wendell Huang, stated in a media gathering that the strong demand in the high-performance computing and smartphone markets prompted the decision to increase the number of fabs in Kaohsiung from the originally planned two to three. Once the three 2-nanometer fabs are in full production, Kaohsiung will become a crucial manufacturing hub for TSMC’s 2-nanometer process.

In addition, with the recent approval from the Ministry of the Interior’s Urban Planning Commission, the land in Hsinchu Science Park designated for TSMC’s use, expected to be available in June 2024, is also being planned for a 2-nanometer fab.

Recent market reports suggest that TSMC, the leading semiconductor foundry, is set to proceed as scheduled with its plan to adopt the GAA (Gate-All-Around) architecture from the 2-nanometer process onward.

The P1 wafer fab in Baoshan, located in the Hsinchu Science Park, is anticipated to begin equipment installation as early as April 2024, while the Kaohsiung fab is projected to commence production using the GAA architecture for the 2-nanometer process technology in 2025.

Furthermore, in response to Intel securing the first High-NA EUV exposure equipment from ASML for its 18A advanced process, TSMC has indicated that it is also planning for High-NA EUV exposure equipment. However, the current timeline anticipates engineering verification of the High-NA EUV exposure equipment in 2024, with gradual integration into the manufacturing process set to follow.

(Image: TSMC)

Please note that this article cites information from TechNews
2024-01-17

[News] Intense Competition with Samsung and Intel in Advanced Processes; TSMC Speeds Up 2nm Progress

The global foundry advanced process battle is reigniting, as reported by the Commercial Times. TSMC’s 2-nanometer process at the Baoshan P1 wafer fab in Hsinchu is set to commence equipment installation as early as April, incorporating a new Gate-All-Around (GAA) transistor architecture and aiming for mass production in 2025.

Additionally, expansion plans for Baoshan P2 and the Kaohsiung fab are projected to join in 2025, with evaluations underway for Phase 2 in the Central Taiwan Science Park. The competition with Samsung and Intel in the most advanced process is intensifying.

Semiconductor industry sources note the ongoing progress in global foundry advanced processes, with Samsung entering GAA architecture early at 3 nanometers, though facing yield challenges, while Intel anticipates mass production of its RibbonFET architecture at 20A this year.

In response to fierce competition, TSMC must accelerate its pace. The ‘Gate-All-Around’ (GAA) technology is a critical factor determining whether chip processing power will double within 1.5 to 2 years.

As per the report, Samsung’s attempt to lead in the 3-nanometer chip segment, transitioning from traditional FinFET, has faced stability issues in yield, hampering customer adoption, and giving TSMC confidence in its 3-nanometer progress. This also highlights the increased complexity in transitioning from 2D to 3D chip designs with GAA transistor architecture.

Furthermore, Intel is intensifying its efforts to catch up, planning to launch Intel 20A in the first half of the year and Intel 18A in the second half. However, it is speculated that Intel 20A will be exclusively used for Intel’s own products, maintaining a close collaboration with TSMC.

TSMC, adopting a cautious approach, benefits from a more advantageous cost structure by minimizing changes in production tools within the same process technology and manufacturing flow. For customers, altering designs during advanced process development incurs significant time and economic costs.

Supply chain sources reveal that TSMC finalized various parameters for its 2-nanometer process at the end of last year, confirming specialty gases and equipment. Contracts are gradually being signed, with equipment installation at the Baoshan P1 fab scheduled to commence in April. Equipment industry sources suggest that TSMC’s process advancement is progressing rapidly as expected, speculating that there will be updates on the Baoshan P2 fab later this year.

(Image: TSMC)

Please note that this article cites information from Commercial Times
2024-01-11

[News] Intel to Launch New Automotive AI Chips, Competing with NVIDIA and Qualcomm

On January 9th, Intel unveiled its latest automotive AI chips, entering into direct competition with rivals NVIDIA and Qualcomm in the automotive chip market. In a bid to strengthen its position, Intel also announced the acquisition of automotive chip company Silicon Mobility.

Reportedly, Intel stated that Silicon Mobility, a French startup, specializes in designing System-on-a-Chip (SoC) technology for controlling electric vehicle motors and in-car charging systems, along with software. The acquisition amount was not disclosed by Intel.

As per Reuter citing from Intel’s automotive business chief Jack Weast, he has indicated that, intel’s new automotive system on a chip products will adapt the company’s recently launched AI PC technology for the durability and performance requirements of vehicles.

Weast further clarified, “Intel will not require automakers to use advanced driving chips designed by its former Mobileye unit, he said. Instead, automakers can have Intel incorporate their own chiplets to enable specific functions into the Intel system at a lower cost.”

Intel’s chips designed for infotainment systems are already integrated into 50 million vehicles. As the automotive chip market continues to expand, the demands on chips are increasing, covering technologies such as autonomous driving, upgradable in-car system software, and complex dashboard displays amid strong competition from NVIDIA and Qualcomm.

Weast has addressed ahead of the CES technology show in Las Vegas that Chinese automaker Zeekr will be the first automaker to use Intel’s AI system on a chip to create “an enhanced living room experience” in vehicles, including AI voice assistants and video conferencing. Zeekr, an electric vehicle brand under the Geely Holding Group, is a customer of both Intel and NVIDIA.

Intel will try to separate itself from rivals by offering chips that automakers can use across their product lines, from lowest-priced to premium vehicles, Weast said.

According to Reuter, Weast addressed reporters in a conference call before the announcement at the CES technology show in Las Vegas, stating, “Intel has done a pretty terrible job communicating our success in automotive, We are going to change that.”

Read more

(Photo credit: Intel)

Please note that this article cites information from Reuter and Commercial Times

2024-01-08

[News] Intel Secures First High-NA EUV Equipment, Threatening TSMC’s Position?

Intel recently announced that it has acquired the market’s first ASML Extreme Ultraviolet (EUV) exposure equipment with a 0.55 Numerical Aperture (High-NA), aiming to advance its chip manufacturing technology in the coming years. In contrast, TSMC appears to be taking a more cautious approach, currently showing no urgency to join the race for this next-generation exposure technology.

The High-NA EUV exposure equipment obtained by Intel will initially be used for learning and mastering the technology, with plans to implement it in the Intel 18A process node in the next two to three years. 

Industry sources suggest that unlike Intel’s plan to introduce High-NA EUV and GAA transistors simultaneously in the Intel 18A process technology, it is anticipated that TSMC may not adopt this technology until the 1.4nm (A14) node, possibly in 2030 or later.

According to a report from IThome, in fact, Intel’s proactive development roadmap includes implementing the RibbonFET gate-all-around (GAA) transistor architecture and PowerVia backside power delivery technology starting from the Intel 20A process.

Subsequently, further optimizations are expected in the Intel 18A process, followed by the adoption of High-NA EUV exposure equipment in subsequent process nodes after Intel 18A. These advancements is anticipated to achieve lower power consumption, higher performance, and smaller chip sizes.

In addition, Intel plans to introduce pattern shaping starting from the 20A process, followed by the adoption of High-NA EUV after the 18A node. This approach is expected to reduce the complexity of the manufacturing process and avoid the use of EUV double patterning.

However, some professionals in the industry have stated that, at least in the initial stages, the cost of High-NA EUV may be higher than that of Low-NA EUV. Furthermore, High-NA EUV lithography equipment present a series of specific challenges too, including a halving of the exposure area.

These are two of the reasons why TSMC is currently adopting a cautious approach. TSMC tends to favor the use of cost-effective mature technologies to ensure product competitiveness.

In fact, If we look back at the development of EUV technology, TSMC began using EUV exposure equipment in chip production as early as 2019, a few months later than Samsung but several years ahead of Intel. Currently, Intel is expected to take the lead in the High-NA EUV field ahead of Samsung and TSMC to gain a certain technological and strategic advantage, increasing its appeal to customers.

Therefore, whether TSMC can maintain its leading position in process technology, especially if it adopts High-NA EUV exposure machines later than competitors, remains subject to ongoing observation.

Read more

(Photo credit: ASML)

Please note that this article cites information from IThome 

2023-12-30

[Tech Recap and Glimpse 5-2] Battle for Advanced Semiconductor Manufacturing

Examining the dynamics of advanced semiconductor manufacturing, from research and development to the competition for cutting-edge equipment and securing orders, major players such as TSMC, Samsung, and Intel are constantly in action. Simultaneously, newcomer Rapidus is making a strong entrance. The competition for advanced processes is set to intensify in 2024.

Amidst the global chipmakers’ race to develop 2-nanometer processes, TSMC has once again emerged victorious by securing Apple’s order. The upcoming iPhone 17 Pro, expected to be released in 2025, reportedly will feature TSMC’s 2-nanometer chip. Samsung is also gearing up to launch a 2nm prototype in 2024, reportedly offering discounted prices with the aim of attracting customers such as NVIDIA.

However, industry insiders reveal that TSMC is on the verge of finalizing its clients for future 3nm and 2nm technologies, apart from Apple, AMD, NVIDIA, Broadcom, MediaTek, and Qualcomm are among the clients for TSMC’s 3nm and 2nm processes. The changes in the customer portfolio of TSMC, Samsung, and Intel in 2024 are bound to be the focal point throughout the year.

(Image: TSMC)

  • Page 2
  • 16 page(s)
  • 78 result(s)