ASML


2024-01-25

[News] Despite U.S. Semiconductor Export Restrictions, ASML Reports Doubling of Revenue Share from China in 2023

Despite the U.S. export control measures on semiconductor equipment, including those from the Netherlands-based ASML, a major player in advanced manufacturing tools, recent financial reports have contrastingly indicate a doubling of the revenue share from the Chinese market?

On January 24, ASML, a leading provider of photolithography equipment, released its latest financial results for the fourth quarter and the full year of 2023. In Q4 2023, the revenue reached EUR 5.683 billion, with China accounting for 39% of ASML’s total revenue. 

Source: ASML

Although slightly lower than the 46% in Q3, the annual perspective for 2023 reveals that China contributed to 29% of ASML’s revenue for the year. This marks a significant increase compared to the 14% revenue share from China in 2022, indicating a direct doubling of ASML’s revenue share in the Chinese market.

Source: ASML

ASML’s Chief Financial Officer, Roger Dassen, explained the significant increase in the revenue share from the Chinese market within a year during the interview accompanying the recent financial report. 

Dassen attributed the strong performance in China in 2023 to orders received at the end of 2022, which were executed throughout 2023. In the previous quarter, ASML had highlighted that the global order delivery rates, including the Chinese market, had been relatively low, below 50% over the past few years. 

He then emphasized that the demand from Chinese orders primarily comes from mid-critical and mature manufacturing, and this demand remains solid.

With the Netherlands imposing new restrictions on the export of advanced chip manufacturing equipment effective from January, ASML officially announced that starting from 2024, they would not be able to ship NXT:2000i and higher DUV lithography equipment to China.

Equipment below NXT:2000i, including NXT:1970i and NXT:1980i, would also be restricted from shipment to advanced process fabs in China. Dassen anticipated that this will impact 10% to 15% of sales in the Chinese market in 2024. However, he emphasized that this aligns with the financial forecasts provided in the third quarter of last year, and the demand for mature manufacturing processes remains robust.

For the full year of 2023, ASML reported a net sales revenue of EUR 27.6 billion, with a net income of EUR 7.8 billion and a gross profit margin of 51.3%. ASML estimates that the net sales for 2024 will be similar to those in 2023.

Read more

(Photo credit: ASML)

Please note that this article cites information from ASML

2024-01-23

[News] China’s Chip Equipment Imports Surge 14% to Nearly USD 40 Billion in 2023

As companies increased their investments in 2023, the Chinese semiconductor industry actively expanded, leading to a substantial increase in the import volume of China’s chip manufacturing equipment.

According to Bloomberg’s report citing official Chinese customs data, the import value of equipment used in the production of computer chips in China surged by 14% in 2023, reaching nearly USD 40 billion. This marks the second-highest import value recorded since 2015, indicating that Chinese semiconductor companies are rapidly investing in new fabs. This effort is expected to aim at enhancing capabilities and circumventing export controls imposed by the United States and its allies.

In 2023, before the implementation of new export controls, China experienced a sharp increase in the import of semiconductor equipment from the Netherlands.

Due to companies rushing to make purchases before the implementation of restrictive measures in the Netherlands, the import value of photolithography equipment from the country in December 2023, as per IJIWEI’s report, saw an almost 1000% year-on-year increase, reaching USD 1.1 billion.

Even before these restrictions took effect, Dutch company ASML complied with the U.S. government’s request to halt the shipment of certain high-end equipment to China.

In early January 2024, ASML reported that the Dutch government partially revoked previously issued licenses for the shipment of NXT:2050i and NXT:2100i lithography machines in 2023. This is expected to have an impact on specific customers in China.

Despite restrictions on China’s advanced process technology deployment, the main reason for its substantial purchases of semiconductor equipment lies in its efforts to break through in mature manufacturing processes.

According to a recent TrendForce’s data, China currently has 44 operational semiconductor fabs, with an additional 22 under construction. By the end of 2024, 32 Chinese wafer fabs will expand their capacity for 28-nanometer and older mature chips.

TrendForce predicts that by 2027, China’s share of mature process capacity in the global market will increase from 31% in 2023 to 39%, with further growth potential if equipment procurement progresses smoothly.

Read more

(Photo credit: ASML)

Please note that this article cites information from IJIWEI and Bloomberg

2024-01-09

[News] ASML Senior Management’s Asian Tour, First Stop Reportedly TSMC

ASML, a key chipmaking equipment supplier, is reported to have its incoming CEO, Christophe Fouquet, visiting Taiwan soon. According to Commercial Times citing from supply chain sources, it’s suggested that he will meet with TSMC and other related suppliers to discuss next-generation EUV equipment.

In 2008, Christophe Fouquet joined ASML, holding various management positions. He currently serves as Executive Vice President and Chief Business Officer. In April, he will succeed CEO Peter Wennink, who has held the position since July 2013, upon Wennink’s retirement at the completion of his term.

The high-level visit from ASML’s management to TSMC raises questions about whether it pertains to potential orders for the new “High-NA EUV” (High Numerical Aperture Extreme Ultraviolet Lithography System). TSMC has yet to confirm this, but the company is exploring various possibilities, including investments in advanced packaging.

Industry sources indicate that the cost of High-NA EUV exceeds USD 300 million. Considering the cost-effectiveness balance, TSMC is not in a hurry to adopt it. The primary reason is the imminent need to establish a plant in the United States. It is estimated that future capital expenditures will significantly lean towards expanding production facilities overseas.

Under the U.S. chip export restrictions, ASML halted the shipment of EUV equipment to China in 2019. Under continued pressure from the U.S., the company recently canceled some shipments of Deep Ultraviolet Lithography equipment (DUV) to China.

Read more

(Photo credit: ASML)

Please note that this article cites information from Commercial Times 

2024-01-08

[News] Intel Secures First High-NA EUV Equipment, Threatening TSMC’s Position?

Intel recently announced that it has acquired the market’s first ASML Extreme Ultraviolet (EUV) exposure equipment with a 0.55 Numerical Aperture (High-NA), aiming to advance its chip manufacturing technology in the coming years. In contrast, TSMC appears to be taking a more cautious approach, currently showing no urgency to join the race for this next-generation exposure technology.

The High-NA EUV exposure equipment obtained by Intel will initially be used for learning and mastering the technology, with plans to implement it in the Intel 18A process node in the next two to three years. 

Industry sources suggest that unlike Intel’s plan to introduce High-NA EUV and GAA transistors simultaneously in the Intel 18A process technology, it is anticipated that TSMC may not adopt this technology until the 1.4nm (A14) node, possibly in 2030 or later.

According to a report from IThome, in fact, Intel’s proactive development roadmap includes implementing the RibbonFET gate-all-around (GAA) transistor architecture and PowerVia backside power delivery technology starting from the Intel 20A process.

Subsequently, further optimizations are expected in the Intel 18A process, followed by the adoption of High-NA EUV exposure equipment in subsequent process nodes after Intel 18A. These advancements is anticipated to achieve lower power consumption, higher performance, and smaller chip sizes.

In addition, Intel plans to introduce pattern shaping starting from the 20A process, followed by the adoption of High-NA EUV after the 18A node. This approach is expected to reduce the complexity of the manufacturing process and avoid the use of EUV double patterning.

However, some professionals in the industry have stated that, at least in the initial stages, the cost of High-NA EUV may be higher than that of Low-NA EUV. Furthermore, High-NA EUV lithography equipment present a series of specific challenges too, including a halving of the exposure area.

These are two of the reasons why TSMC is currently adopting a cautious approach. TSMC tends to favor the use of cost-effective mature technologies to ensure product competitiveness.

In fact, If we look back at the development of EUV technology, TSMC began using EUV exposure equipment in chip production as early as 2019, a few months later than Samsung but several years ahead of Intel. Currently, Intel is expected to take the lead in the High-NA EUV field ahead of Samsung and TSMC to gain a certain technological and strategic advantage, increasing its appeal to customers.

Therefore, whether TSMC can maintain its leading position in process technology, especially if it adopts High-NA EUV exposure machines later than competitors, remains subject to ongoing observation.

Read more

(Photo credit: ASML)

Please note that this article cites information from IThome 

2024-01-03

[News] ASML Reports Partial Revocation of Export Licenses for DUV Equipment

Dutch semiconductor equipment leader ASML Holding N.V. has announced that export licenses for certain equipment have been partially revoked by the Dutch government.

In a press release issued on January 1st, 2024, ASML stated, “A license for the shipment of NXT:2050i and NXT:2100i lithography systems in 2023 has recently been partially revoked by the Dutch government, impacting a small number of customers in China. We do not expect the current revocation of our export license or the latest U.S. export control restrictions to have a material impact on our financial outlook for 2023.

The press release further stated, “In recent discussions with the US government, ASML has obtained further clarification of the scope and impact of the US export control regulations. The latest US export rules (published October 17, 2023) impose restrictions on certain mid critical DUV immersion lithography systems for a limited number of advanced production facilities.”

Bloomberg reported earlier on January 1st, 2024, citing unnamed sources, that several weeks before the implementation of export controls on advanced semiconductor equipment in the Netherlands, the U.S. government had requested ASML to cancel the export of certain machines destined for China.

Previously, ASML’s CEO, Peter Wennink, stated that these limitations would exclude the vast majority of Chinese customers in response to the U.S. restrictions. This exclusion is due to the fact that these customers are involved in mature nodes, specifically in the production of semiconductors at 28nm and above.

In addition, last week, the South China Morning Post has cited data, indicating that in November 2023, China had imported critical semiconductor manufacturing lithography equipment from the Netherlands, experiencing a significant surge of 1050% in import value.

In an interview with the South China Morning Post, Jan-Peter Kleinhans, Senior Researcher and Head of Technology and Geopolitics Projects at the Berlin-based think tank “Stiftung Neue Verantwortung” (New Responsibility Foundation), mentioned that the impact on sales would not be immediate following the new U.S. restrictions.

Reportedly, this is because ASML has a lead time of approximately 18 months. This implies that the equipment shipped in the fourth quarter of 2023 would have been ordered in the second or third quarter of 2022, and ASML would apply for export licenses at some point thereafter.

Read more

(Photo credit: ASML)

Please note that this article cites information from ASML and Bloomberg

  • Page 1
  • 3 page(s)
  • 12 result(s)