ASML


2023-11-23

[News] EUV as a Strategic Asset in the Most Advanced Processes: Progress in Intel/TSMC/Samsung’s Adoptions

Equipment is playing an indispensable role during the wafer manufacturing process. In response to market needs, the global EUV lithography supplier, ASML, has recently taken significant steps.

ASML’s Bold Move: Annual Investment of EUR 100 Million in Berlin Plant

As reported by the German media “Handelsblatt,” the Netherlands-based company ASML plans to invest EUR 100 million (USD 109 million) in 2023, with a similar annual investment in the subsequent years. This investment aims to enhance the production and development capabilities of ASML’s manufacturing plant located in Berlin, Germany.

Reports indicate that ASML’s Berlin plant primarily produced core components of EUV equipment, including wafer clamps, wafer tables, reticle chucks and mirror blocks. ASML acquired this facility, known as “Berliner Glas,” in 2020.

Foundries Actively Pursue EUV equipment

The EUV equipment plays a crucial role in manufacturing, utilizing specific wavelength light for radiation to precisely imprint images on wafers. Currently, the EUV equipment market is highly concentrated, with only a few global companies mastering this technology. Among them, Dutch company ASML stands out as the world’s largest and most advanced EUV company. Additionally, companies like Nikon, Canon, and Shanghai Micro Electronics Equipment (SMEE) are strategically positioning themselves in the EUV sector.

EUV technology, used for exposing semiconductor process, is indispensable due to its high cost, complex processes, and limited supply. ASML is the sole global supplier of EUV. For advanced processes below 7nm, EUV serves as an essential device. Developed over more than 20 years, EUV technology has become the cornerstone of advanced processes, enabling the continuation of Moore’s Law for at least another decade.

As a crucial EUV equipment supplier, ASML is working on a new generation of NA-EUV equipment, where “NA” represents numerical aperture. A higher NA value means a higher achievable resolution, allowing for more transistors on the chip. It is expected that by the year-end, ASML will unveil the world’s first high-NA EUV and deliver it to Intel.

Currently, both TSMC and Samsung utilize EUV equipment for manufacturing, covering TSMC’s 7nm, 5nm, and 3nm processes and Samsung’s EUV Line (7nm, 5nm, and 4nm) located in Hwaseong, Korea, along with the 3nm GAA process.

TSMC’s 2nm process will continue to leverage EUV technology. In a previous announcement in September, TSMC disclosed the acquisition of Intel’s subsidiary IMS for up to US 432.8 million, focusing on the research and production of electron beam lithography machines. Industry experts believe that TSMC’s move ensures the technical development of critical equipment and meets the supply demand for the commercialization of 2nm.

Following 2nm chips. Samsung plans to achieve mass production of 2nm processes in the mobile field by 2025, expanding to HPC and automotive electronics in 2026 and 2027, respectively. According to the report in September, Samsung is gearing up to secure the yield of the next-generation EUV equipment, High-NA, with the prototype expected to launch later this year and official supply next year.

After announcing its return to the foundry business, Intel revealed in October that it has commenced mass production of Intel 4 process nodes using EUV technology. Currently, both Intel 7 and Intel 4 have achieved mass production, and Intel 3 is progressing according to plan, with the goal of completion by the end of 2023.

(Image: ASML)

2023-10-19

[News] ASML’s Q3 Orders Plummet 40%, 46% Revenue from China – U.S. Ban’s Long-Term Impact

Dutch semiconductor equipment giant ASML has released its Q3 2023 financial report, showing a significant decline in orders for the third quarter, far below expectations. This suggests signs of weakened demand for ASML’s chip manufacturing equipment in the semiconductor industry during a lackluster economic climate. In its financial statement on the 18th, ASML revealed that the total value of orders received in the third quarter from July to September decreased by 42% compared to the previous quarter, amounting to 2.6 billion euros (approximately 2.8 billion USD). In contrast, analysts surveyed by Bloomberg had estimated an average order value of 4.5 billion euros.

ASML is the sole manufacturer of the cutting-edge semiconductor lithography equipment required for semiconductor production. Earlier this year, they experienced significant revenue growth as Chinese semiconductor firms rushed to place substantial orders before the U.S. export control measures came into effect.

During the video interview when announcing the financial results, ASML’s CFO Roger Dassen, stated that the overall economic situation has not improved,” There’s still pockets of inflation. We still see interest rates at pretty elevated levels. We still see GDP growth in some economies that is not where people expected that to be. Then I think there are quite some geopolitical tensions.”

ASML’s Q3: China Sales at 46% with Mature Process Clients

China accounted for 46% of ASML’s Q3 sales, higher than 24% in the second quarter and 8% in the first quarter. Taiwan accounted for 24% of sales, while South Korea accounted for 20%. As ASML’s CFO, Roger Dasse explained, the sales in China were notably high due to shipments serving mid-critical and mature nodes based on earlier purchase orders. Shifts in demand timing from other customers have raised our Chinese customers’ order-fill rate, resulting in increased sales in China. All shipments complied with export regulations.

In terms of equipment type sales in the third quarter, ASML sold a total of 105 new lithography machines, including 7 second-hand machines, categorized by product type as follows: 11 EUV machines, 32 ArFi (immersion DUV lithography machines), 9 ArF dry (dry DUV lithography machines), 44 KrF machines, and 16 I-Line machines.

Regarding terminal applications, lithography machines for manufacturing logic chips represented 76% of sales, while those for manufacturing memory chips accounted for 24% of sales. In terms of revenue, ArFi immersion lithography machines accounted for a substantial 48%, with EUV lithography machines at 35%.

“Our Chinese customers say: We are happy to take the machines that others don’t want,” Peter Wennink, ASML’s CEO said. “Because their fabs are ready. They can take the tools.”.

U.S. Export Rules Impact on ASML’s 1980Di Tool and Sales

ASML is targeted by U.S. efforts to curb the export of advanced technology to China. Earlier this year, the Biden administration convinced the Dutch government not to allow ASML to ship some immersion DUV equipment to China without a permit. These Dutch restrictions are scheduled to take effect on January 1st of the following year. Currently, ASML has already been prohibited from selling its most advanced EUV machines to China.

During the press conference after the financial report, Peter Wennink mentioned that despite the expanded export control lists implemented by the U.S. and Dutch governments, he expects strong demand from Chinese semiconductor manufacturers. Additionally, another ASML product not covered by the Dutch export permit rules for this year, the 1980Di deep ultraviolet exposure machine (DUV), has now been restricted according to the new export regulations announced by the U.S. on the 17th of the month.

1980Di is used to assist in the production of relatively advanced computer chips, as well as mid-range and older chips. Wennink stated, “In principle, the 1980 series will be subject to export control regulations, but only when… (they are) used in advanced semiconductor manufacturing.” He also mentioned that only a few Chinese semiconductor factories are considered “advanced.”

ASML anticipates steady operations in 2024

According to a report by Money DJ, ASML also announced its financial forecast for the fourth quarter of 2023, estimating net sales of approximately 6.7 billion to 7.1 billion euros, with a gross margin ranging from 50% to 51%. Research and development costs are estimated at around 1.03 billion euros, while selling and administrative expenses (SG&A) are estimated at 285 million euros. ASML confirms that, as previously anticipated, 2023 has seen robust growth, with a projected increase in net sales approaching 30% and a slight improvement in gross margin, compared to 2022.

ASML stated that the semiconductor industry is currently experiencing a cyclical downturn, with customers anticipating a turnaround in demand by the end of the year. Since customers remain uncertain about the strength and pace of the industry demand recovery, 2024 is expected to be a transitional year. The company is adopting a more conservative estimate, with 2024 revenue expected to be similar to 2023. Preparations are being made for significant growth in 2025.

Explore more:

(Image: ASML)

2023-09-28

[News] Why Did TSMC Invest in IMS Now After a Decade-Long Collaboration?

According to a report by China’s Jiwei, Intel’s recent sale of a 10% stake in IMS to TSMC has not generated much buzz in the industry. Most industry insiders view this transaction positively, considering the importance of IMS and TSMC’s vertical integration.

However, why did TSMC decide to purchase a 10% stake in IMS now, when the two companies have been collaborating on research and development for a decade?

The Importance of IMS

When it comes to semiconductor equipment, Dutch lithography giant ASML is a well-known name. However, it’s worth noting that in the semiconductor manufacturing process, the multi-beam mask writer provided by IMS is also crucial. Established in Vienna in 1985, IMS primarily focuses on advanced process node photomask manufacturing.

The significance of photomasks is undeniable. As processes evolve, the demand for photomasks continues to rise. It’s understood that the 14nm process requires approximately 60 photomasks, while the 7nm process demands around 80 to even hundreds of them. Correspondingly, photomask prices have been steadily climbing. According to IBS data, photomask costs are approximately $5 million in the 16/14nm process, but in the 7nm process, they rapidly increase to $15 million.

Within the total cost of photomasks, which includes equipment like writers and inspection tools, raw materials like quartz and photoresist, as well as software like OPC and MDP, the writer’s contribution is significant.

Experts analyze that without IMS’ multi-beam mask writer, all EUV process technologies would come to a halt, rendering ASML’s EUV equipment less useful. Furthermore, as lithography technology advances towards High-NA EUV, its progress relies on sophisticated mask writing tools. With advanced processes continually pushing forward, IMS technology will play a crucial role.

Perhaps recognizing the importance of mask writers early on, Intel invested in IMS as early as 2009 and ultimately acquired it in 2015. After years of effort, IMS has secured a dominant position in the multi-beam mask writer market, with reported its employees and capacity quadrupling since the acquisition, bringing substantial profits to Intel.

Delving deeper, there is a longstanding connection between TSMC and IMS.

Since 2012, TSMC has been collaborating with IMS to develop multi-beam mask writers for advanced technology nodes. Kevin Zhang, Senior Vice President, Business Development and Overseas Operations Office at TSMC, stated that this investment will continue their long-term partnership to accelerate innovation and achieve deeper cross-industry collaboration.

Regarding TSMC’s investment in IMS, research institutions have pointed out that TSMC has always pursued a vertical integration strategy to master various aspects of technology and resources in the semiconductor manufacturing field. Particularly noteworthy is TSMC’s in-house mask manufacturing, where the precision and quality of masks are crucial for chip performance. IMS can be seen as a key supplier to TSMC, providing critical products.

Industry experts also point out that TSMC’s decision may help them gain an advantage in the 2nm competition. As the competition in the 2nm transitions from three competitors to four, involving TSMC, Samsung, Intel, and Japan’s Rapidus, 2025 is poised to be a pivotal year. In the era of 2nm, not only will the use of ASML’s next-generation High-NA EUV equipment be essential, but also harnessing the power of mask writers. TSMC’s investment in IMS could solidify their collaboration and help them pull ahead of other competitors.

(Photo credit: IMS)

2022-01-05

Fire at ASML’s Berlin Plant May Impact EUV Optical Component Supply, Says TrendForce

A fire occurred at ASML’s factory in Berlin, Germany on January 3, according to TrendForce’s investigations. ASML is the largest supplier of key equipment (including EUV and DUV) required for foundry and memory production. According to TrendForce’s preliminary inquiry, approximately 200m2 out of a factory floor covering 32,000m2 was affected by the fire. This factory primarily manufactures optical components used in lithography systems such as wafer tables, reticle chucks, and mirror blocks. Reticle chucks used for affixing photomasks are in short supply. Currently, the majority of components produced at this factory go towards supplying EUV machines while the lion’s share of demand for these products come from foundries. If the fire delays component delivery, it cannot be ruled out that ASML will prioritize the allocation of output towards fulfilling foundry orders.

Lead time for this exclusive supply of key EUV machines has been long and may affect the timeframe of advanced manufacturing process transition  

In terms of foundries, EUV is primarily used in advanced manufacturing processes smaller than the 7nm node. Currently, the only companies in the world using this equipment for manufacturing are TSMC and Samsung including TSMC’s 7nm, 5nm, 3nm nodes, Samsung’s EUV Line (7nm, 5nm and 4nm) built in Hwaseong, South Korea, and 3nm GAA node. However, due to factors such as the shortage of global foundry production capacity and the active expansion of manufacturing, semiconductor equipment lead times are also stretching further into the future.

In terms of DRAM, Samsung and SK Hynix are already using EUV in their 1Znm and 1alpha nm processes, while US manufacturer Micron is expected to introduce EUV to their 1gamma nm process in 2024. According to TrendForce’s current information, the lead time on ASML EUV equipment is approximately 12 to 18 months. Due to this long equipment lead time, ASML is at liberty to wait for the completion of replace components for those lost in the fire during the time necessary for equipment assembly.

Overall, the ASML Berlin factory fire will have a greater impact on the manufacturing of EUV lithography equipment when it comes to foundries and memory. According to TrendForce’s information, it cannot be ruled out that ASML will obtain necessary components from other factory campuses. In addition, the current lead time for EUV equipment is quite long. Therefore, the actual impact on EUV supply remains to be seen.

For more information on reports and market data from TrendForce’s Department of Semiconductor Research, please click here, or email Ms. Latte Chung from the Sales Department at lattechung@trendforce.com

  • Page 3
  • 3 page(s)
  • 14 result(s)