ASML


2023-12-26

[News] Canon’s Nano-imprint Lithography Reduces Production Costs for Advanced Processes, Narrowing the Gap with ASML

Canon, one of the world’s major manufacturers of lithography equipment, announced the release of the FPA-1200NZ2C Nano-imprint Lithography (NIL) semiconductor equipment in October.

The CEO, Fujio Mitarai, recently stated that the new nano-imprint lithography technology opens up a new path for small semiconductor manufacturers to produce advanced chips.

Canon’s Semiconductor Equipment Business Manager, Kazunori Iwamoto, explained that nano-imprint lithography involves imprinting a mask with the semiconductor circuit pattern onto a wafer. With a single imprint, complex 2D or 3D circuit patterns can be formed in the appropriate locations. By improving the mask, it might even be possible to produce 2nm chips.

Reportedly, Canon’s nano-imprint lithography is capable of producing a minimum 5nm process size. In the 5nm process segment of the advanced semiconductor manufacturing equipment market, currently dominated by ASML’s EUV exposure machines, Canon’s nano-imprint lithography might have the opportunity to narrow the gap.

Regarding equipment costs, Kazunori Iwamoto stated that customer costs vary, and the estimated cost of a single imprint process can sometimes be reduced to half that of traditional exposure equipment processes. Nano-imprint lithography equipment also has a smaller footprint, making it easier to introduce.

Previously, Fujio Mitarai mentioned that the pricing of nano-imprint lithography equipment is one digit less than that of ASML’s EUV equipment. However, the final pricing has not been disclosed yet.

According to NIKKEI’s interview, Iwamoto also indicated that Canon has received numerous inquiries from semiconductor manufacturers, universities, and research institutes. There is anticipation that it could serve as an alternative to EUV, with expectations for the production of various semiconductors, including flash, DRAM for personal computer, and logic ICs.

Read more

(Photo credit: Canon)

Please note that this article cites information from NIKKEI and The Japan Times

2023-12-20

[News] The Battle on Advanced Processes Intensifies as ASML Plans to Produce Ten Equipment Capable of 2nm Chip Production Next Year

As TSMC, Samsung, and Intel compete fiercely in the race for 2nm advanced processes, a new wave of the “battle for crucial equipment” is simultaneously unfolding.

According to South Korean reports, ASML, the leader in semiconductor advanced lithography equipment, plans to manufacture ten equipment capable of producing 2nm chips next year, while aiming to increase its annual production capacity to 20 devices in the coming years.

Intel has secured up to six of the 10, taking the lead, while Samsung is also actively pursuing the procurement of the equipment. TSMC faces significant pressure in this competitive landscape.

South Korean tech media SamMobile has unveiled that as major semiconductor manufacturers announce plans to start producing 2nm chips in 2025, ASML is set to unveil equipment capable of manufacturing chips using the 2nm process in the coming months.

The latest extreme ultraviolet (EUV) lithography equipment is expected to increase the numerical aperture (NA) from 0.33 to 0.55. This enhancement improves the light-collecting capability of the optical system, enabling semiconductor fabs to utilize advanced patterning techniques for the production of 2nm process chips.

ASML is the sole global manufacturer of advanced EUV equipment for processes at 7nm. These equipment are not only expensive, costing several million dollars each, but they also have limited production capacity.

It has led to high demand from major semiconductor manufacturers like Samsung, Intel, and TSMC. Currently, only five chipmakers globally, including TSMC, Samsung, SK Hynix, Intel, and Micron, require EUV equipment, with TSMC accounting for 70% of EUV purchases.

Consequently, Samsung is actively pursuing collaboration and has signed a historic agreement with ASML to jointly invest KRW 1 trillion (approximately USD 755 million) in establishing a research and development facility in South Korea.

This collaboration aims to contribute to the development of Samsung’s 2nm process. Samsung plans to commence the production of 2nm process chips by the end of 2025 after acquiring the 2nm manufacturing equipment.

Samsung Electronics Vice Chairman Kyung Kye-hyun, who heads the Device Solutions Division, emphasized that the new agreement with ASML will assist Samsung in acquiring the next-generation high NA EUV equipment.

Kyung said, “Samsung has secured a priority over the High-NA equipment technology. (From the trip), I believe we created an opportunity for us to optimize the usage of High-NA technology for our production of DRAM memory chips and logic chips in the long term.”

On the Intel front, as part of its IDM 2.0 strategy, it is executing a 5 nodes in four years process development plan. Intel emphasizes that its Intel 20A process is progressing towards volume production readiness as planned, while the Intel 18A process is scheduled to test production phase in the first quarter of next year.

Facing the strong competition from Samsung and Intel, TSMC is not sitting idle. According to reports citing from Financial Times, TSMC has showcased its 2nm prototype test results to major clients like Apple and NVIDIA.

TSMC previously mentioned in its earnings call that it expects the 2nm process to enter mass production as scheduled in 2025. The company’s 2nm backside power rail solution is scheduled for the latter half of 2025, with mass production slated for 2026.

Read more

(Photo credit: ASML)

Please note that this article cites information from SamMobile

2023-12-13

[News] Samsung, ASML Invest KRW 1 Trillion in Korean Research Fab as Netherlands-Korea Semiconductor Alliance Formed

South Korean President Yoon Suk Yeol concluded his visit to the Netherlands, announcing the establishment of a “Semiconductor Alliance” between South Korea and the Netherlands. The alliance involves collaboration between Dutch semiconductor equipment giant ASML and South Korean companies Samsung and SK Hynix. This marks South Korea’s first alliance announcement with a specific country.

According to the Korea Times and South Korean President Office’s press release on December 13th, President Yoon Suk Yeol’s held a dialogue with Dutch Prime Minister Mark Rutte during the state visit to the Netherlands. They issued a joint statement formalizing the “Semiconductor Alliance” and establishing bilateral mechanisms for economic, security, and industrial consultations.

On December 12th, President Yoon Suk Yeol led a delegation to ASML’s headquarters in the Netherlands, including representatives from South Korean semiconductor giants Samsung and SK Hynix, as reported by the Korea Times. During the visit, Samsung and ASML signed an MOU, jointly investing approximately KRW 1 trillion (about USD 7.6 billion) to establish a research fab in South Korea.

Bloomberg also reports that the new fab will expand ASML’s market in South Korea, where it already operates four fabs, servicing clients including Samsung. ASML’s exclusive EUV technology is crucial amid the US-China tech trade tensions, making regional diversification increasingly important for the company.

ASML is a leading global player of semiconductor EUV lithography systems, which is crucial for processing semiconductor manufacturing’s most vital steps. EUV equipment are a pivotal part of chip manufacturing, and ASML can produce only around 60 EUV devices annually. Currently, 70% of ASML’s EUV equipment are purchased by market leader TSMC.

Previous reports from South Korean media highlighted Samsung’s substantial EUV equipment purchases from ASML, totaling 50 units. Samsung is the world’s first company to produce 3nm chips, commencing production of the first-generation 3nm chips in the latter half of 2022. It aims to start mass production of the second-generation 3nm chips in the first half of the next year and targets producing 2nm chips by 2025 and 1.4nm chips by 2027.

Please note that this article cites information from the Korea Times and Bloomberg 

(Image: 대한민국 대통령실)

2023-11-23

[News] EUV as a Strategic Asset in the Most Advanced Processes: Progress in Intel/TSMC/Samsung’s Adoptions

Equipment is playing an indispensable role during the wafer manufacturing process. In response to market needs, the global EUV lithography supplier, ASML, has recently taken significant steps.

ASML’s Bold Move: Annual Investment of EUR 100 Million in Berlin Plant

As reported by the German media “Handelsblatt,” the Netherlands-based company ASML plans to invest EUR 100 million (USD 109 million) in 2023, with a similar annual investment in the subsequent years. This investment aims to enhance the production and development capabilities of ASML’s manufacturing plant located in Berlin, Germany.

Reports indicate that ASML’s Berlin plant primarily produced core components of EUV equipment, including wafer clamps, wafer tables, reticle chucks and mirror blocks. ASML acquired this facility, known as “Berliner Glas,” in 2020.

Foundries Actively Pursue EUV equipment

The EUV equipment plays a crucial role in manufacturing, utilizing specific wavelength light for radiation to precisely imprint images on wafers. Currently, the EUV equipment market is highly concentrated, with only a few global companies mastering this technology. Among them, Dutch company ASML stands out as the world’s largest and most advanced EUV company. Additionally, companies like Nikon, Canon, and Shanghai Micro Electronics Equipment (SMEE) are strategically positioning themselves in the EUV sector.

EUV technology, used for exposing semiconductor process, is indispensable due to its high cost, complex processes, and limited supply. ASML is the sole global supplier of EUV. For advanced processes below 7nm, EUV serves as an essential device. Developed over more than 20 years, EUV technology has become the cornerstone of advanced processes, enabling the continuation of Moore’s Law for at least another decade.

As a crucial EUV equipment supplier, ASML is working on a new generation of NA-EUV equipment, where “NA” represents numerical aperture. A higher NA value means a higher achievable resolution, allowing for more transistors on the chip. It is expected that by the year-end, ASML will unveil the world’s first high-NA EUV and deliver it to Intel.

Currently, both TSMC and Samsung utilize EUV equipment for manufacturing, covering TSMC’s 7nm, 5nm, and 3nm processes and Samsung’s EUV Line (7nm, 5nm, and 4nm) located in Hwaseong, Korea, along with the 3nm GAA process.

TSMC’s 2nm process will continue to leverage EUV technology. In a previous announcement in September, TSMC disclosed the acquisition of Intel’s subsidiary IMS for up to US 432.8 million, focusing on the research and production of electron beam lithography machines. Industry experts believe that TSMC’s move ensures the technical development of critical equipment and meets the supply demand for the commercialization of 2nm.

Following 2nm chips. Samsung plans to achieve mass production of 2nm processes in the mobile field by 2025, expanding to HPC and automotive electronics in 2026 and 2027, respectively. According to the report in September, Samsung is gearing up to secure the yield of the next-generation EUV equipment, High-NA, with the prototype expected to launch later this year and official supply next year.

After announcing its return to the foundry business, Intel revealed in October that it has commenced mass production of Intel 4 process nodes using EUV technology. Currently, both Intel 7 and Intel 4 have achieved mass production, and Intel 3 is progressing according to plan, with the goal of completion by the end of 2023.

(Image: ASML)

2023-10-19

[News] ASML’s Q3 Orders Plummet 40%, 46% Revenue from China – U.S. Ban’s Long-Term Impact

Dutch semiconductor equipment giant ASML has released its Q3 2023 financial report, showing a significant decline in orders for the third quarter, far below expectations. This suggests signs of weakened demand for ASML’s chip manufacturing equipment in the semiconductor industry during a lackluster economic climate. In its financial statement on the 18th, ASML revealed that the total value of orders received in the third quarter from July to September decreased by 42% compared to the previous quarter, amounting to 2.6 billion euros (approximately 2.8 billion USD). In contrast, analysts surveyed by Bloomberg had estimated an average order value of 4.5 billion euros.

ASML is the sole manufacturer of the cutting-edge semiconductor lithography equipment required for semiconductor production. Earlier this year, they experienced significant revenue growth as Chinese semiconductor firms rushed to place substantial orders before the U.S. export control measures came into effect.

During the video interview when announcing the financial results, ASML’s CFO Roger Dassen, stated that the overall economic situation has not improved,” There’s still pockets of inflation. We still see interest rates at pretty elevated levels. We still see GDP growth in some economies that is not where people expected that to be. Then I think there are quite some geopolitical tensions.”

ASML’s Q3: China Sales at 46% with Mature Process Clients

China accounted for 46% of ASML’s Q3 sales, higher than 24% in the second quarter and 8% in the first quarter. Taiwan accounted for 24% of sales, while South Korea accounted for 20%. As ASML’s CFO, Roger Dasse explained, the sales in China were notably high due to shipments serving mid-critical and mature nodes based on earlier purchase orders. Shifts in demand timing from other customers have raised our Chinese customers’ order-fill rate, resulting in increased sales in China. All shipments complied with export regulations.

In terms of equipment type sales in the third quarter, ASML sold a total of 105 new lithography machines, including 7 second-hand machines, categorized by product type as follows: 11 EUV machines, 32 ArFi (immersion DUV lithography machines), 9 ArF dry (dry DUV lithography machines), 44 KrF machines, and 16 I-Line machines.

Regarding terminal applications, lithography machines for manufacturing logic chips represented 76% of sales, while those for manufacturing memory chips accounted for 24% of sales. In terms of revenue, ArFi immersion lithography machines accounted for a substantial 48%, with EUV lithography machines at 35%.

“Our Chinese customers say: We are happy to take the machines that others don’t want,” Peter Wennink, ASML’s CEO said. “Because their fabs are ready. They can take the tools.”.

U.S. Export Rules Impact on ASML’s 1980Di Tool and Sales

ASML is targeted by U.S. efforts to curb the export of advanced technology to China. Earlier this year, the Biden administration convinced the Dutch government not to allow ASML to ship some immersion DUV equipment to China without a permit. These Dutch restrictions are scheduled to take effect on January 1st of the following year. Currently, ASML has already been prohibited from selling its most advanced EUV machines to China.

During the press conference after the financial report, Peter Wennink mentioned that despite the expanded export control lists implemented by the U.S. and Dutch governments, he expects strong demand from Chinese semiconductor manufacturers. Additionally, another ASML product not covered by the Dutch export permit rules for this year, the 1980Di deep ultraviolet exposure machine (DUV), has now been restricted according to the new export regulations announced by the U.S. on the 17th of the month.

1980Di is used to assist in the production of relatively advanced computer chips, as well as mid-range and older chips. Wennink stated, “In principle, the 1980 series will be subject to export control regulations, but only when… (they are) used in advanced semiconductor manufacturing.” He also mentioned that only a few Chinese semiconductor factories are considered “advanced.”

ASML anticipates steady operations in 2024

According to a report by Money DJ, ASML also announced its financial forecast for the fourth quarter of 2023, estimating net sales of approximately 6.7 billion to 7.1 billion euros, with a gross margin ranging from 50% to 51%. Research and development costs are estimated at around 1.03 billion euros, while selling and administrative expenses (SG&A) are estimated at 285 million euros. ASML confirms that, as previously anticipated, 2023 has seen robust growth, with a projected increase in net sales approaching 30% and a slight improvement in gross margin, compared to 2022.

ASML stated that the semiconductor industry is currently experiencing a cyclical downturn, with customers anticipating a turnaround in demand by the end of the year. Since customers remain uncertain about the strength and pace of the industry demand recovery, 2024 is expected to be a transitional year. The company is adopting a more conservative estimate, with 2024 revenue expected to be similar to 2023. Preparations are being made for significant growth in 2025.

Explore more:

(Image: ASML)

  • Page 2
  • 3 page(s)
  • 12 result(s)