EUV


2024-01-09

[News] ASML Senior Management’s Asian Tour, First Stop Reportedly TSMC

ASML, a key chipmaking equipment supplier, is reported to have its incoming CEO, Christophe Fouquet, visiting Taiwan soon. According to Commercial Times citing from supply chain sources, it’s suggested that he will meet with TSMC and other related suppliers to discuss next-generation EUV equipment.

In 2008, Christophe Fouquet joined ASML, holding various management positions. He currently serves as Executive Vice President and Chief Business Officer. In April, he will succeed CEO Peter Wennink, who has held the position since July 2013, upon Wennink’s retirement at the completion of his term.

The high-level visit from ASML’s management to TSMC raises questions about whether it pertains to potential orders for the new “High-NA EUV” (High Numerical Aperture Extreme Ultraviolet Lithography System). TSMC has yet to confirm this, but the company is exploring various possibilities, including investments in advanced packaging.

Industry sources indicate that the cost of High-NA EUV exceeds USD 300 million. Considering the cost-effectiveness balance, TSMC is not in a hurry to adopt it. The primary reason is the imminent need to establish a plant in the United States. It is estimated that future capital expenditures will significantly lean towards expanding production facilities overseas.

Under the U.S. chip export restrictions, ASML halted the shipment of EUV equipment to China in 2019. Under continued pressure from the U.S., the company recently canceled some shipments of Deep Ultraviolet Lithography equipment (DUV) to China.

Read more

(Photo credit: ASML)

Please note that this article cites information from Commercial Times 

2024-01-08

[News] Intel Secures First High-NA EUV Equipment, Threatening TSMC’s Position?

Intel recently announced that it has acquired the market’s first ASML Extreme Ultraviolet (EUV) exposure equipment with a 0.55 Numerical Aperture (High-NA), aiming to advance its chip manufacturing technology in the coming years. In contrast, TSMC appears to be taking a more cautious approach, currently showing no urgency to join the race for this next-generation exposure technology.

The High-NA EUV exposure equipment obtained by Intel will initially be used for learning and mastering the technology, with plans to implement it in the Intel 18A process node in the next two to three years. 

Industry sources suggest that unlike Intel’s plan to introduce High-NA EUV and GAA transistors simultaneously in the Intel 18A process technology, it is anticipated that TSMC may not adopt this technology until the 1.4nm (A14) node, possibly in 2030 or later.

According to a report from IThome, in fact, Intel’s proactive development roadmap includes implementing the RibbonFET gate-all-around (GAA) transistor architecture and PowerVia backside power delivery technology starting from the Intel 20A process.

Subsequently, further optimizations are expected in the Intel 18A process, followed by the adoption of High-NA EUV exposure equipment in subsequent process nodes after Intel 18A. These advancements is anticipated to achieve lower power consumption, higher performance, and smaller chip sizes.

In addition, Intel plans to introduce pattern shaping starting from the 20A process, followed by the adoption of High-NA EUV after the 18A node. This approach is expected to reduce the complexity of the manufacturing process and avoid the use of EUV double patterning.

However, some professionals in the industry have stated that, at least in the initial stages, the cost of High-NA EUV may be higher than that of Low-NA EUV. Furthermore, High-NA EUV lithography equipment present a series of specific challenges too, including a halving of the exposure area.

These are two of the reasons why TSMC is currently adopting a cautious approach. TSMC tends to favor the use of cost-effective mature technologies to ensure product competitiveness.

In fact, If we look back at the development of EUV technology, TSMC began using EUV exposure equipment in chip production as early as 2019, a few months later than Samsung but several years ahead of Intel. Currently, Intel is expected to take the lead in the High-NA EUV field ahead of Samsung and TSMC to gain a certain technological and strategic advantage, increasing its appeal to customers.

Therefore, whether TSMC can maintain its leading position in process technology, especially if it adopts High-NA EUV exposure machines later than competitors, remains subject to ongoing observation.

Read more

(Photo credit: ASML)

Please note that this article cites information from IThome 

2024-01-03

[News] ASML Reports Partial Revocation of Export Licenses for DUV Equipment

Dutch semiconductor equipment leader ASML Holding N.V. has announced that export licenses for certain equipment have been partially revoked by the Dutch government.

In a press release issued on January 1st, 2024, ASML stated, “A license for the shipment of NXT:2050i and NXT:2100i lithography systems in 2023 has recently been partially revoked by the Dutch government, impacting a small number of customers in China. We do not expect the current revocation of our export license or the latest U.S. export control restrictions to have a material impact on our financial outlook for 2023.

The press release further stated, “In recent discussions with the US government, ASML has obtained further clarification of the scope and impact of the US export control regulations. The latest US export rules (published October 17, 2023) impose restrictions on certain mid critical DUV immersion lithography systems for a limited number of advanced production facilities.”

Bloomberg reported earlier on January 1st, 2024, citing unnamed sources, that several weeks before the implementation of export controls on advanced semiconductor equipment in the Netherlands, the U.S. government had requested ASML to cancel the export of certain machines destined for China.

Previously, ASML’s CEO, Peter Wennink, stated that these limitations would exclude the vast majority of Chinese customers in response to the U.S. restrictions. This exclusion is due to the fact that these customers are involved in mature nodes, specifically in the production of semiconductors at 28nm and above.

In addition, last week, the South China Morning Post has cited data, indicating that in November 2023, China had imported critical semiconductor manufacturing lithography equipment from the Netherlands, experiencing a significant surge of 1050% in import value.

In an interview with the South China Morning Post, Jan-Peter Kleinhans, Senior Researcher and Head of Technology and Geopolitics Projects at the Berlin-based think tank “Stiftung Neue Verantwortung” (New Responsibility Foundation), mentioned that the impact on sales would not be immediate following the new U.S. restrictions.

Reportedly, this is because ASML has a lead time of approximately 18 months. This implies that the equipment shipped in the fourth quarter of 2023 would have been ordered in the second or third quarter of 2022, and ASML would apply for export licenses at some point thereafter.

Read more

(Photo credit: ASML)

Please note that this article cites information from ASML and Bloomberg

2023-12-27

[News] Surge in Chip Manufacturing Equipment Imports from the Netherlands to China, Soaring Tenfold After U.S. Tightens Restrictions

In November 2023, China witnessed a remarkable 1050% surge in the import value of crucial chip manufacturing lithography equipment from the Netherlands, the primary exporter of photolithography equipment, according to the South China Morning Post.

This surge, measured in terms of value, indicates that Chinese semiconductor companies have managed to maintain a channel for ordering advanced equipment despite the tightened export restrictions imposed by the United States

Lithography equipment holds a paramount position among the ten types of equipment essential in the manufacturing process of integrated circuits (ICs).

Reportedly, despite substantial financial investments, China has been acknowledged to lag behind in this technology for many years. Despite allocating significant funds, the country has still struggled to narrow the gap with leading enterprises in this crucial aspect of IC manufacturing.

Meanwhile, in October, the U.S. Department of Commerce expanded its export control regulations on China, with the new provisions taking effect from November 2023.

These regulations specifically restrict the Dutch company ASML from selling certain immersion Deep Ultraviolet (DUV) lithography equipment to Chinese facilities engaged in advanced semiconductor manufacturing. Consequently, China’s import of equipment has seen a consecutive surge for nearly two months.

In November, China imported 16 lithography equipment units from the Netherlands, valued at USD 762.7 Million, marking a tenfold year-on-year increase. By comparison, in October, China imported 21 lithography equipment units valued at USD 672.5 million, with an average price difference of 46% per unit.

In November of this year, China imported a total of 42 lithography equipment, valued at USD 816.8 million, including 15 units from Japan. When combined, the imports from the Netherlands and Japan accounted for almost the entire amount spent by China on lithography equipment in November.

In response to the U.S. restrictions, ASML’s CEO, Peter Wennink, previously stated that these limitations would exclude the vast majority of Chinese customers. This exclusion is due to the fact that these customers are involved in mature or traditional semiconductor manufacturing, specifically in the production of semiconductors at 28nm and above.

Jan-Peter Kleinhans, Senior Researcher and Head of Technology and Geopolitics Projects at the Berlin-based think tank “Stiftung Neue Verantwortung” (New Responsibility Foundation), mentioned that the impact on sales would not be immediate following the new U.S. restrictions.

As per the report from South China Morning Post, this is because ASML has a lead time of approximately 18 months. This implies that the equipment shipped in the fourth quarter of 2023 would have been ordered in the second or third quarter of 2022, and ASML would apply for export licenses at some point thereafter.

Read more

(Photo credit: ASML)

Please note that this article cites information from South China Morning Post

2023-12-26

[News] Canon’s Nano-imprint Lithography Reduces Production Costs for Advanced Processes, Narrowing the Gap with ASML

Canon, one of the world’s major manufacturers of lithography equipment, announced the release of the FPA-1200NZ2C Nano-imprint Lithography (NIL) semiconductor equipment in October.

The CEO, Fujio Mitarai, recently stated that the new nano-imprint lithography technology opens up a new path for small semiconductor manufacturers to produce advanced chips.

Canon’s Semiconductor Equipment Business Manager, Kazunori Iwamoto, explained that nano-imprint lithography involves imprinting a mask with the semiconductor circuit pattern onto a wafer. With a single imprint, complex 2D or 3D circuit patterns can be formed in the appropriate locations. By improving the mask, it might even be possible to produce 2nm chips.

Reportedly, Canon’s nano-imprint lithography is capable of producing a minimum 5nm process size. In the 5nm process segment of the advanced semiconductor manufacturing equipment market, currently dominated by ASML’s EUV exposure machines, Canon’s nano-imprint lithography might have the opportunity to narrow the gap.

Regarding equipment costs, Kazunori Iwamoto stated that customer costs vary, and the estimated cost of a single imprint process can sometimes be reduced to half that of traditional exposure equipment processes. Nano-imprint lithography equipment also has a smaller footprint, making it easier to introduce.

Previously, Fujio Mitarai mentioned that the pricing of nano-imprint lithography equipment is one digit less than that of ASML’s EUV equipment. However, the final pricing has not been disclosed yet.

According to NIKKEI’s interview, Iwamoto also indicated that Canon has received numerous inquiries from semiconductor manufacturers, universities, and research institutes. There is anticipation that it could serve as an alternative to EUV, with expectations for the production of various semiconductors, including flash, DRAM for personal computer, and logic ICs.

Read more

(Photo credit: Canon)

Please note that this article cites information from NIKKEI and The Japan Times

  • Page 1
  • 2 page(s)
  • 10 result(s)