Samsung Electronics


2024-02-01

[News] Intensified Competition in the Semiconductor Industry for 2nm Technology Dominance, Potentially Reshaping the Global Foundry Market

The competition for dominance in 2nm semiconductor technology has intensified at the beginning of 2024, marking a crucial battleground among global foundry companies.

As per a report from IJIWEI, major foundry enterprises such as Samsung Electronics, TSMC, and Intel are set to commence mass production adopting 2nm process starting this year. Consequently, the fierce competition for supremacy in 2nm technology is expected to escalate from 2025 onwards. Currently, the most advanced production technology globally is at the 3nm level.

  • TSMC

TSMC’s 2nm products will be manufactured at the Fab 20 in the Hsinchu Science Park in northern Taiwan and at a plant in Kaohsiung.

The Fab 20 facility is expected to begin receiving related equipment for 2nm production as early as April, with plans to transition to GAA (Gate-All-Around) technology from FinFET for 2nm mass production by 2025.

During TSMC’s earnings call on January 18th, TSMC revealed that its capital expenditure for this year is expected to fall between USD 28 billion and 32 billion, with the majority (70% to 80%) allocated to advanced processes. This figure is similar to that of 2023 (USD 30.4 billion), indicating stable investment to ensure its leading position in 2nm technology.

  • Intel

After announcing its re-entry into the foundry business, Intel is actively advancing its foundry construction efforts. The plan includes the introduction of the Intel 20A (equivalent to 2nm) process in the first half of 2024 and the Intel 18A (1.8nm) process in the second half of the year. It is understood that the Intel 18A process will commence test production as early as the first quarter of this year.

Intel’s 2nm roadmap is more ambitious than originally anticipated, being accelerated by over six months. In response to criticisms of its “overly ambitious” plans, Intel swiftly began procuring advanced Extreme Ultraviolet (EUV) equipment.

  • Samsung Electronics

Samsung Electronics has devised a strategy to gain an advantage in the more advanced process war through its Gate-All-Around (GAA) technology. Currently, it is mass-producing the first-generation 3nm process based on GAA (SF3E) and plans to commence mass production of the second-generation 3nm process this year, significantly enhancing performance and power efficiency.

Regarding the 2nm process, per a report from Nikkei, Samsung plans to start mass production for mobile devices in 2025 (SF2) and gradually expand to high-performance computing (HPC) in 2026 and automotive processes in 2027.

Currently, Samsung Electronics is producing GAA products for the 3nm process at its Hwaseong plant and plans to manufacture products for both the 3nm and 2nm processes at its Pyeongtaek facility in the future.

  • Rapidus

Rapidus, a chip manufacturing company supported by the Japanese government, is expected to trial-adopt 2nm process at its new plant by 2025 and begin mass production from 2027.

If Rapidus’ technology is validated, the global foundry market may expand beyond the Taiwan-Korea duopoly to include Taiwan, Korea, the United States, and Japan.

The technology competition to become a “game-changer” ultimately depends on the competition for customers. It’s rumored that TSMC holds a leading position in the 2nm field, with Apple speculated to be its first customer for the 2nm process. Graphics processing giant NVIDIA is also considered a major customer within TSMC’s client base.

According to TrendForce data as of the third quarter of 2023, TSMC’s revenue share accounted for a dominant 57.9%, with Samsung Electronics trailing at 12.4%, a gap of 45.5 percentage points.

However, Samsung Electronics is not sitting idly by. With continuous technological investment, Samsung’s foundry customer base grew to over 100 in 2022, a 2.4-fold increase from 2017. The company aims to expand this number to around 200 by 2028.

Particularly, Samsung’s early adoption of GAA technology is expected to give it an advantage in achieving early production volumes for advanced processes.

Read more

(Photo credit: TSMC)

Please note that this article cites information from IJIWEI and Nikkei.

2023-12-11

[News] Intel’s Possibility? Nvidia Hints at Considering a Third Foundry Partner

Nvidia CFO, Colette Kress, recently hinted again that the next-gen chips might be outsourced to Intel Corp. During the call with semiconductor analyst Tim Arcuri at the UBS Global Technology Conference on November 28th, she was asked whether Intel would be considered as a foundry partner for the next-gen chips.

In response, she stated that there are many powerful foundries in the market. TSMC and Samsung Electronics have been great partners. She said, “we’d love to have a third one,” when answering whether Nvidia want a third partner.

Kress also mentioned that, TSMC’s and others’ US fab may also be their options, and “there is nothing necessarily different but again in terms of different region. Nothing will stop us from potentially adding another foundry.”

Kress highlighted that Nvidia’s current data center GPUs designed for AI and high-performance computing (HPC) are predominantly outsourced to TSMC. However, in the previous generation, Nvidia’s gaming GPUs were mainly entrusted to Samsung for fabrication. According to Sedaily, Samsung’s foundry was responsible for manufacturing Nvidia’s GeForce RTX 30 series gaming GPUs based on the Ampere architecture.

Speaking of foundry partners for AI products, Nvidia anticipates that TSMC will remain a crucial foundry partner for producing AI Hopper H200 and Blackwell B100 GPUs. Any additional orders might be entrusted to Samsung.

Nvidia CEO previously said Intel’s next-gen process test chips “look good”

Additionally, reports from Barron also mentioned that on May 30th, during an interaction with journalists in Taiwan, Nvidia CEO Jensen Huang was asked whether Nvidia is considering diversifying its supplier base given the rising tensions between the U.S. and China. In response, Huang referred to Nvidia’s long-standing collaboration with TSMC and Samsung Electronics, stating, “We have a lot of customers depending on us. And so our supply chain resilience is very important to us. We manufacture in as many places as we can.”

At that time, Huang also expressed, “We’re open to manufacturing with Intel. And (Intel CEO) Pat (Gelsinger) has said in the past that we’re evaluating their process, and we’ve recently received the test chip results of their next generation process and the results look good.”

From Nvidia CFO’s talk in November and Nvidia CEO’s response in May, it is obvious that, beyond TSMC and Samsung, Nvidia is thinking about a potential third foundry partner.

Please note that this article cites information from Sedaily and Barron

(Image: NVIDIA Hopper Architecture – H100 SXM)

2023-11-02

[News] Samsung’s Announcement of a 20% Quarterly Price Increase for NAND Signals Promising Industry Trends

As reported by UDN News, Samsung Electronics is making a significant move by increasing the prices of NAND Flash memory by 20% every quarter until the second quarter of 2024. This price surge exceeds industry expectations.

Within the semiconductor industry, Samsung initially raised NAND wafer prices by 10% to 20% this quarter, Pulse reported. Now, the company has decided to continue this trend by progressively increasing prices by 20% during the first and second quarters of the next year. This strategic decision reflects Samsung’s determination to stabilize NAND wafer prices with the aim of reversing the market’s direction in the first half of the upcoming year.

Based on TrendForce’s research in October, with NAND wafer prices leading the increase since August and suppliers adopting a firmer stance in negotiations, Q4 enterprise SSD contract prices are projected to rise by approximately 5~10%. Meanwhile, reduced production of mainstream processes and fewer suppliers for high-end client SSDs have endowed suppliers with better bargaining power. Consequently, both high-end and low-end products are expected to increase concurrently, with 4Q23 PC client SSD contract prices projected to rise by 8~13%.

TrendForce also reports that Q4 contract prices for mobile DRAM are poised to see an increased quarterly rise of 13–18%. But that’s not all—NAND Flash is also joining the party, with contract prices of eMMC and UFS expected to climb by approximately 10–15% in the same quarter. This quarter is set to star mobile DRAM, traditionally the underperformer in profit margins compared to its DRAM counterparts, as it takes the lead in this round of price increases.

TrendForce foresees that memory prices are expected to continue trending upward in 1Q24. The rate of increase will depend on whether suppliers maintain a conservative production strategy and whether there is enough consumer demand to bolster the market.

Samsung’s Strategy on NAND Affect the Market and Company Performance

Following the latest financial report, NAND is a staple memory chip alongside DRAM, and together they account for around half of Samsung Electronics’ memory chip sales. In conjunction with the aggressive price hikes, Samsung is also curbing production to manage market supply effectively, promoting a positive market environment, and enhancing profitability.

At a recent financial conference on October 31st, Kim Jae-jun, Vice President of Samsung Eletronics, publicly stated, “There will be selective production adjustments to normalize inventories in a short time. A supply cut will be larger for NAND flash than for DRAM.”

Financial analysts estimate that as memory production cuts take effect and prices rise, Samsung’s operations will see a significant improvement starting from the fourth quarter of this year.

NAND Industry Foresee Bright Future amid Memory Price Surge

NAND-related businesses in Taiwan are also optimistic about the industry’s future. Khein Seng Pua, CEO of Phison Electronics Corp, indicated that the adjustment of OEM customer inventories, spanning the past six to nine months, is nearly complete. Consequently, Phison has secured more design-in projects, resulting in a gradual increase in wafer demand. Furthermore, Phison’s controller IC products have advanced into a new process generation, leading to a rise in value-added custom development projects.

Simon Chen, Chairman and CEO of ADATA, anticipates a prolonged period of rising memory prices, starting from the fourth quarter of this year and continuing into the first half of the next year. This is expected to create a two-year era of prosperity in the memory market, with supply shortages predicted in the coming years.

Industry experts highlight the reinvigoration of the NAND wafer market, with customers progressively returning. Samsung, being the global memory chip leader, is spearheading the price hikes, thereby contributing to a favorable pricing trend across the overall market.
(Image: Samsung)

Explore more:

2023-10-20

[News] Samsung’s Breakthrough in NAND Memory: Over 300 Layers Set to Revolutionize Storage in 2024

As the leading global supplier of NAND memory, Samsung is embarking on an ambitious journey to enhance its V-NAND technology, also known as 3D NAND. Early in this week, Samsung has officially declared its commitment to commence mass production of the 9th generation V-NAND memory, featuring an astonishing 300+ layers, by 2024. This achievement will establish a new industry record for the highest number of active layers, solidifying Samsung’s industry leadership.

In a blog post on Samsung Electronics, Jung-Bae Lee, President and Head of Samsung Electronics’ Memory Business, stated, “The ninth-generation V-NAND is well under way for mass production early next year with the industry’s highest layer count based on a double-stack structure.”

Samsung was diligently working on the 9th generation V-NAND back in August this year, preserving the double-stacked technology they first introduced in 2020. Not only is Samsung confirming the trajectory of their next-gen non-volatile memory technology, but it also surpasses competitors by boasting more active layers. It’s been disclosed that SK Hynix’s upcoming 3D NAND will have 321 active layers, Samsung is set to surpass this number.

Jung-Bae Lee further elaborated, “Samsung is also working on the next generation of value-creating technologies, including a new structure that maximizes V-NAND’s input/output (I/O) speed.”

While precise performance details of Samsung’s 9th generation V-NAND remain undisclosed, it will power their upcoming SSDs. In the near future, it is anticipated that Samsung will introduce retail SSDs with the PCIe Gen5 interface, in line with the Samsung 990 Pro series.

Regarding long-term technological advancement, Samsung is committed to minimizing interference between units, reducing device dimensions, and maximizing the count of vertical layers. These innovative strides are clearing the path for Samsung to achieve the industry’s most compact unit size. These endeavors will propel Samsung toward their ambitious goal of developing over 1,000 layers of 3D NAND and distinctive memory solutions, ensuring the continued relevance of their products for data centers, personal computers, and a wide range of applications.

(Image: Samsung)

 

Read more:

 

2023-10-18

[News] Intel, Samsung, TSMC Race in Cutting-Edge Processes

Driven by emerging technologies like AI and high-performance computing, the semiconductor foundry industry increasingly emphasizes the importance of advanced manufacturing processes. Recently, the industry has seen significant developments. Intel announced that it has commenced large-scale production of its Intel 4 process node, while TSMC and Samsung are equally committed to advancing their advanced process technologies.

Intel’s Mass Production of Intel 4 Process Node

On October 15th, Intel China’s official public account revealed that Intel has initiated large-scale production of the Intel 4 process node using Extreme Ultraviolet Lithography (EUV) technology. According to Intel, they are making significant progress with their “Four Years, Five Nodes” plan. This plan aims to produce next-generation products that meet the computational demands driven by AI’s role in the “Siliconomy.”

Being the first process node produced by Intel using EUV lithography technology, Intel 4 offers substantial improvements in performance, efficiency, and transistor density compared to its predecessors. Intel 4 was unveiled at the Intel Innovation 2023 held in September this year.

In comparison to Intel 7, Intel 4 achieves a 2x reduction in area, providing high-performance computing (HPC) logic libraries and incorporating various innovative features.

In detail, Intel 4 simplifies the EUV lithography process, optimizing it for high-performance computing applications, supporting both low voltage (<0.65V) and high voltage (>1.1V) operations. Compared to Intel 7, Intel 4 boasts more than a 20% improvement in iso-power performance, and high-density Metal-Insulator-Metal (MIM) capacitors deliver outstanding power supply performance.

Intel’s “Four Years, Five Nodes” plan is advancing with the following process updates:

Intel 7 and Intel 4 are currently in large-scale production. Intel 3 is on track to meet its planned target by the end of 2023.

Intel’s Intel 20A and Intel 18A, which use Ribbon FET all-around gate transistors and PowerVia backside power delivery technology, are also progressing well, with a target of 2024. Intel will soon introduce the Intel 18A process design kit (PDK) for Intel Foundry Services (IFS) customers.

With the adoption of Intel 4 process nodes, the Intel Core i9 Ultra processor, codenamed “Meteor Lake,” will be released on December 14th this year, ushering in the AIPC era.

On Intel 3 process nodes, the energy-efficient E-core Sierra Forest processor will be launched in the first half of 2024, and the high-performance P-core Granite Rapids processor will follow closely.

Samsung’s 2nm Process Detailed Production Plan

Samsung has already commenced production of its second-generation 3nm chips and plans to continue focusing on 2nm chips.

On June 28th, Samsung Electronics unveiled its latest foundry technology innovations and business strategies at the 7th Samsung Foundry Forum (SFF) in 2023.

In the era of artificial intelligence, Samsung’s foundry program, based on advanced GAA process technology, offers robust support for customers in AI applications. To this end, Samsung has disclosed a detailed production plan and performance levels for its 2nm process. The plan is to achieve mass production for mobile applications by 2025 and respectively expand to HPC and automotive electronics in 2026 and 2027.

Samsung reports that the 2nm process (SF2) improves performance by 12% compared to the 3nm process (SF3), increases efficiency by 25%, and reduces the area by 5%.

Furthermore, reports indicated that Samsung is ensuring the production capacity for products using the next-generation EUV lithography machine, High-NA, in September. This equipment is expected to have a prototype by the end of this year and officially enter production next year.

TSMC’s Mass Production of 2nm by 2025

This year, TSMC has unveiled its latest advanced semiconductor manufacturing roadmap in various locations, including Santa Clara, California, and Taiwan. The roadmap covers a range of processes from 3nm to 2nm.

TSMC’s current roadmap for 3nm includes N3, N3E, N3P, N3X, and N3 AE, with N3 serving as the foundational version, N3E as an enhanced version with further cost optimization, N3P focusing on improved performance with a planned start in the second half of 2024, N3X targeting high-performance computing devices with a mass production goal in 2025, and N3 AE designed specifically for the automotive sector, offering greater reliability and the potential to shorten time-to-market by 2-3 years.

In the 2nm realm, TSMC is planning to achieve mass production of the N2 process by 2025. TSMC has reported that the N2 process will offer a 15% speed improvement over N3E at the same power or a 30% reduction in power consumption, with a 15% increase in transistor density. In September, media reports revealed that TSMC has formed a task force to accelerate 2nm pilot production and mass production, aiming for risk production next year and official mass production in 2025.

To ensure the smooth development of 2nm process technology, TSMC has initiated efforts in the upstream equipment sector. On September 12th, TSMC announced the acquisition of a 10% stake in IMS Nanofabrication, a subsidiary of Intel, for a price not exceeding $432.8 million. IMS specializes in the research and production of electron beam lithography machines, which find extensive applications in semiconductor manufacturing, optical component manufacturing, MEMS manufacturing, and more. The industry sees TSMC’s IMS acquisition as vital for developing crucial equipment and meeting the demand for 2nm process commercialization.

(Image: Intel)

  • Page 1
  • 2 page(s)
  • 6 result(s)