Qualcomm


2024-01-15

[News] Huawei Hisilicon Kirin 5G Platform Rumored to Make a Full Comeback

According to a report from IJIWEI, there are recent indications that Huawei’s P70 series and smartphones with smaller foldable screens are set to be launched in the first half of this year, with the Kirin 5G chip making a comprehensive return.

Citing information from a blogger, Huawei’s return to the top spot in China’s market in the first week of 2024 is attributed to the outstanding performance of the Nova 12 series. In addition to this success, the first half of this year will witness the release of new models such as the P70 series flagship for imaging and compact foldable screen devices. The Kirin 5G platform is expected to make a full comeback.

In the first half of 2024, Huawei plans to unveil the P70 series and devices with smaller foldable screens, all powered by the Kirin 5G platform. It is reported that the P70 series will feature the Kirin 9010 chip. Looking at Huawei’s recent releases, the Kirin 5G platform is set to cover a broad spectrum, including the Mate series, P series, and nova series, among other mid-to-high-end product lines.

Analyst Ming-Chi Kuo from TF International Securities revealed that Huawei’s smartphone shipments are expected to reach 60 million units in 2024, making it the fastest-growing brand in the industry. Kuo also mentioned that due to Huawei adopting the new Kirin 5G platform, Qualcomm’s System-on-Chip (SoC) shipments to Chinese smartphone brands are anticipated to decrease by 50-60 million units in 2024 compared to 2023.

(Image: Huawei)

Please note that this article cites information from IJIWEI
2023-12-12

[News] Samsung’s Discount Strategy Challenges TSMC as the Battle for 2nm Orders Heats Up

Both TSMC and Samsung plan to start production on the 2nm process in 2025, triggering an early battle for related orders. According to sources reported by the Financial Times (FT), Qualcomm intends to shift production of its next-generation high-end mobile chips from TSMC to Samsung’s 2nm process. Samsung, offering substantial discounts, is aggressively pursuing orders from major players like NVIDIA, posing a challenge to TSMC’s dominance.

According to reports citing insider information, TSMC has showcased its 2nm prototype test results to major clients like Apple and NVIDIA. Additionally, sources indicate that Samsung is not only planning to introduce its 2nm prototype but is also offering discounted prices, attracting interest from prominent clients, including NVIDIA.

The report highlights that Qualcomm is planning to use Samsung’s “SF2” (2nm) process for the next generation of high-end smartphone chips. Samsung, as the first company to globally mass-produce 3nm (SF3) chips last year, is also the pioneer in adopting the new Gate-All-Around (GAA) transistor architecture.

Samsung indicated that, “We have fully deployed and can mass-produce SF2 in 2025. Since we are the first company to enter and transform the GAA architecture, we hope that the progress from SF3 to SF2 will be relatively smooth.”

However, insiders have disclosed that Samsung’s yield for the most basic 3nm chips is only 60%, significantly below customer expectations. Moreover, when producing chips with complexity equivalent to Apple’s A17 Pro or NVIDIA graphics processing units (GPUs), the yield may further decrease.

Global giants such as Qualcomm and NVIDIA follow a diversified wafer foundry strategy, but they still rely heavily on TSMC for now. Previously, NVIDIA’s Chief Financial Officer, Colette Kress, hinted during the UBS Global Technology Conference that NVIDIA may consider Intel for the production of its next-generation chips, potentially breaking away from the exclusive partnership with TSMC for AI chips.

Now, Qualcomm is also exploring collaboration with Samsung for the 2nm process, intensifying the pressure on TSMC to address potential order losses from two major clients in advanced semiconductor manufacturing.

TSMC, on the other hand, informed the Financial Times that the development progress of its 2nm process is proceeding smoothly, and it is scheduled for production in 2025. When launched, it will represent the industry’s most advanced semiconductor technology in terms of density and energy efficiency.

In a previous investor conference, TSMC stated that there is significant customer interest and engagement in 2nm for high-speed computing and smartphone applications. It is expected that 2nm, when introduced in 2025, will be the most advanced semiconductor technology in the industry, comparable or even superior to 3nm at the same stage.

TSMC plans to launch the 2nm backside power rail solution in the second half of 2025, with mass production scheduled for 2026.

Besides TSMC and Samsung actively advancing towards 2nm and more advanced processes, Intel has also joined the competition. The Financial Times characterizes this race for the 2nm process as “shaping the future of a USD 500 billion industry.”

Intel is progressing along its previously set 5 process nodes in a four-year trajectory. The Intel 4 process is ready for mass production, and the Intel 3 process is planned for launch later this year. Intel CEO, Pat Gelsinger, has previously showcased the Intel 20A wafer, which is expected to enter the pre-production phase in the first half of next year. The Intel 18A process is scheduled for mass production in the second half of 2024.

Read more

(Photo credit: Samsung)

Please note that this article cites information from Financial Times.

2023-12-01

[News] Samsung Fails to Secure Qualcomm’s 3nm Orders for the Coming Year, Dual Foundry Strategy Postponed

According to TechNews’ report, TSMC and Samsung fiercely compete in the semiconductor foundry sector. Earlier market reports suggested that Qualcomm’s Snapdragon 8 Gen 4 mobile processor might adopt a dual-foundry strategy with TSMC and Samsung manufacturing simultaneously.

However, according to the latest industry information, due to Samsung’s conservative expansion plan for next year’s 3nm production capacity and unstable yields, Qualcomm has officially canceled the plan to utilize Samsung for next year’s processors. The dual-sourcing model is now postponed until 2025.

Samsung began mass production of its first-generation 3nm GAA (SF3E) process at the end of June last year, marking Samsung’s initial use of the innovative GAA architecture for transistor technology. The second-generation 3nm process, 3GAP (SF3), will utilize the second-generation MBCFET architecture, optimizing it based on the foundation of the first-generation 3nm SF3E. It is expected to enter mass production in 2024.

The dual-foundry strategy for Qualcomm was initially leaked by the reputable source Revegnus via the X platform (formerly Twitter). It was mentioned that the Snapdragon 8 Gen 4 processor would adopt TSMC’s 3nm (N3E) process, while Samsung’s 3GAP process would be used for the Snapdragon 8 Gen 4 supplying Samsung’s Galaxy series smartphones. Other sources suggested that due to limited capacity at TSMC’s 3nm production, Qualcomm had to seek Samsung as an alternative chip foundry.

As a result, Qualcomm originally anticipated dual-foundry production with both TSMC and Samsung in 2024, with hopes of being the first customer for the 3GAP process. However, considering Samsung’s conservative 3nm production capacity plan for next year and the instability in yields, Qualcomm decided to scrap the plan and exclusively rely on TSMC, pushing the dual-foundry strategy to 2025.

Currently, TSMC’s 3nm process technology capacity is on the rise, with expectations that by the end of 2024, monthly production capacity will reach 100,000 wafers, and the revenue contribution will increase from the current 5% to 10%.

(Photo credit: Qualcomm)

Read more

2023-11-27

[News] IC Design Companies Seek Advanced Process Second Source, Overview of Competition Between TSMC and Samsung

According to TechNews’ report, Apple, NVIDIA, AMD, Qualcomm, and MediaTek all utilize TSMC’s semiconductor processes for manufacturing their latest chips, with some potentially employing Samsung’s foundry, though typically not for flagship products.

With Samsung’s improved yield rates in recent months, the company is eager to secure a portion of the orders, particularly for the 3-nanometer GAA (Gate-All-Around) process.

Earlier market reports suggested that Qualcomm’s Snapdragon 8 Gen 4 might adopt a dual-foundry strategy, simultaneously utilizing TSMC’s N3E process technology and Samsung’s SF3E process technology.

However, both Qualcomm and MediaTek currently plan to employ TSMC’s second-generation 3-nanometer process technology (N3E) for manufacturing chips like the Snapdragon 8 Gen 4 and Dimensity 4, without pursuing a dual-foundry strategy at this time.

As of the end of June 2022, Samsung announced the commencement of production for 3-nanometer process chips at its Hwaseong Industrial Complex in South Korea. These chips incorporate a new GAA transistor architecture technology, rumored to be more energy-efficient compared to TSMC’s 3-nanometer FinFET technology. Despite this, in the realm of 3nm, Samsung has yet to secure substantial orders from major clients.

Interestingly, the company has seen more success in the 4nm domain. It is reported that Samsung has gradually addressed yield and various issues in the 4-nanometer process technology domain. The third generation of 4-nanometer process technology has seen improvements in performance, reduced power consumption, increased density, and achieved yields close to TSMC’s level. Market sources indicate that Samsung has gained recognition from companies like AMD and Tesla, securing new orders.

Currently, TSMC’s 3-nanometer process technology production capacity is ramping up, with an expected monthly capacity of 100,000 wafers by the end of 2024. The revenue contribution is projected to increase from the current 5% to 10%.

Meanwhile, Samsung plans to introduce the second generation of its 3-nanometer process technology, named SF3 (3GAP), in 2024. Building upon the existing SF3E, it aims for further optimization, and Samsung’s in-house Exynos 2500 is expected to be one of the first high-performance chips to adopt this new process technology.

Read more

2023-11-24

[Insights] MediaTek Collaborates with Meta to Develop Next-Generation Smart Glasses Chip

MediaTek announced a collaboration with Meta to develop its next-generation smart glasses chip. Since Meta has previously used Qualcomm chips for its two generations of smart glasses products, it is speculated that Meta’s expansion of chip suppliers is aimed at maintaining supply chain flexibility and reducing costs. MediaTek, in turn, is poised to leverage smart glasses to tap into opportunities within Meta’s VR/AR devices.

 TrendForce’s Insights:

  1. Meta Expands Chip Collaboration Suppliers, Maintaining Product Development Flexibility and Potential Cost Reduction

In mid-November 2023, MediaTek hosted the overseas summit, Mediatek Executive Summit 2023, where it announced a collaboration with Meta to develop the next-generation smart glasses chip.

Meta’s first smart glasses, a collaborative creation with Ray-Ban in 2021, differ from the Quest series as they are not high-end VR devices but rather feature a simpler design, focusing on additional functionalities like music playback and phone calls.

In the fall of 2023, Meta introduced a successor product with significant improvements in camera resolution, video quality, microphones, and internal storage. This new device is designed to simplify the recording and live streaming process by integrating with Meta’s social platform. Additionally, the new product aligns with the trend of generative AI and incorporates Meta’s AI voice assistant based on Llama2 LLM.

Notably, the market has shown keen interest and discussion regarding MediaTek’s announcement on the collaboration with Meta, given that Meta’s previous two generations of smart glasses used Qualcomm chips, specifically the Qualcomm Snapdragon Wear 4100 for the older version and the AR1 Gen1 for the new version.

Analysis of Meta’s Motivation: Meta’s decision to collaborate with MediaTek may be driven by considerations of risk diversification among suppliers and overall cost reduction.

Firstly, Meta has been investing in the development of in-house chips in recent years to ensure flexibility in product development. Examples include the MTIA chip, disclosed in mid-2023, designed for processing inference-related tasks, and the MSVP, the first in-house ASIC chip for video transcoding, which is expected to be used in VR and AR devices.

Given Meta’s previous attempts, including collaboration with Samsung, to independently develop chips and move towards chip autonomy, the partnership with MediaTek can be seen as a risk mitigation strategy against vendor lock-in.

Secondly, considering that smart glasses, unlike the high-priced Quest series, are currently priced at USD 299 for both models, MediaTek’s competitive pricing may also be a significant factor in Meta’s decision to collaborate with them.

  1. MediaTek Eyes VR and AR Device Market Opportunities Through Smart Glasses Collaboration with Meta

From MediaTek’s perspective, their focus extends beyond smart glasses to the vast business opportunities presented by Meta’s VR and AR devices. In reality, examining Meta’s smart glasses alone reveals estimated shipments of around 300,000 pairs for the older model. Even with the new model and the anticipated successor expected to launch in 2025, there is currently no clear indication of significant market momentum.

In practical terms, this collaboration with Meta might not contribute substantially to MediaTek’s revenue. The crucial aspect of MediaTek’s collaboration with Meta lies in strategically positioning itself in Meta’s smart headwear supply chain, challenging the dominance of the original chip supplier, Qualcomm.

Looking at global VR device shipments, Meta is projected to hold over 70% market share in 2023 and 2024. There are also reports of an updated version of the Quest device expected to be available in China in late 2024. If MediaTek can expand its collaboration with Meta further, coupled with the gradual increase in the penetration rate of VR and AR devices, significant business opportunities still lie ahead.

From an overall perspective of the VR and AR industry, the current design of headwear devices no longer resembles the early models that required external computing cores due to considerations of cost, power, and heat.

The prevalent mainstream designs are now standalone devices. Given that these devices not only execute the primary application functions but also handle and consolidate a substantial amount of data from sensors to support functions like object tracking and image recognition, VR and AR devices require high-performance chips or embedded auxiliary SoCs. This market demand and profit potential are compelling enough to attract chip manufacturers, especially in the face of the gradual decline in momentum in the consumer electronics market, such as smartphones.

The VR and AR market still holds development potential, making it a strategic entry point for manufacturers. This insight is evident in MediaTek’s motivation, continuing its market cultivation efforts after developing the first VR chip for Sony PS VR2 in 2022 and collaborating with Meta.

  • Page 1
  • 8 page(s)
  • 37 result(s)