Research Reports

Research Reports

[Selected Topics] An Overview of China’s Semiconductor Industry through the Perspective of Wafer Equipment

icon

Last Modified

2023-04-07

icon

Update Frequency

Not

icon

Format

PDF



Overview

Summary
Wafer manufacturing involves the use of various semiconductor equipment that can be categorized into more than ten types, such as photolithography machines, etching equipment, and thin-film deposition equipment (PVD/CVD/ALD). These categories can be further divided based on different working principles or processed materials. The production of these machines involves the integration of diverse scientific techniques, including optics, physics, and chemistry, posing high technical barriers and manufacturing difficulties. These machines are also characterized by their significant worth and high investment in research and development.

Chinese companies engaged in the development of wafer manufacturing equipment mainly include NAURA Tech., Advanced Micro, SMEE, Piotech, Hwatsing Tech., etc. This report primarily discusses the development of China’s semiconductor industry, emphasizing key equipment used in thin-film deposition, etching, and photolithography.
 

Table of Contents
1. Thin Film Deposition Equipment

2. Etching Equipment

3. Photolithography Equipment

4. TRI's View

<Total Pages:11>





USD $500

icon

Membership

Get in touch with us