chip war


2023-02-09

[Chip War] The Latest Update of US Sanctions’ Impact on The Progress of Chinese Semiconductor Development

According to TrendForce’s latest investigation, Chinese foundries have already suspended plans to expand production capacity for advanced processes after the US government began restricting the exportation of equipment and technical support for processes related to non-planar architectures. TrendForce believes that a further tightening of the restrictions on lithography equipment will mainly affect mature processes, especially the 28nm. Chinese foundries might proceed more slowly in adding new production capacity or raising output for the 28nm process due to the prolonged reviews on their equipment purchases.

TrendForce semiconductor analyst, Joanne Chiao, said that Chinese semiconductor companies have already suspended the development of chips featuring the GAA architecture (i.e., nodes that are generally ≤3nm) after the US government began restricting the exportation of EDA tools and related technical support. If we talk about the FinFET architecture that Chinese foundries are able to produce for now, it is possible to achieve the faster computing speed of the more advanced chips by combining multiple lower-end chips. However, it might also be very challenging to raise the production yield rate of a solution that integrates multiple chips, not to mention that the power consumption of such solution might be very high as well.

Seeing the US export control, for now, US government has not imposed restrictions on the exportation of technical support for processes related to planar architectures. On the other hand, Chinese foundries might halt their advanced chip (14nm) production at any time if they encounter an equipment malfunction or another problem that requires technical support from US equipment providers.

At last, Chiao emphasized that the US sanction has definitely accelerated the development of an “all-China” semiconductor manufacturing supply chain. Nevertheless, the world’s top eight semiconductor equipment providers all come from Japan or the US. From the perspective of the foundry industry, it will be hard for China to realize a wholly or mostly native semiconductor supply chain within the foreseeable future.

2022-10-17

[Chip War] A heavy handed approach to blockading China’s semiconductor development, understanding the impact of the US chip ban

The U.S. Department of Commerce announced new semiconductor restrictions on October 7 in the United States. In addition to existing restrictions on the logic IC sector, this new update extends to the memory category. In addition to Chinese-funded enterprises, the extent of these restrictions stipulates foreign-owned production centers located in China will also need to apply for approval on a case-by-case basis in order to continue to obtain manufacturing-related equipment. The US ban has far-reaching effects and may extend to the global chip industry.

U.S. ban hobbles China’s semiconductor industry, affecting foundry and memory industries

The U.S. Department of Commerce announced a series of chip export control measures on the 7th, which mainly restrict China’s ability to obtain advanced computing chips, develop supercomputers, and manufacture advanced semiconductors.

However, relevant restrictions also prohibit third-country companies such as TSMC from using US-made equipment to service Chinese customers without U.S. approval in some cases. According to TrendForce, a market research agency, the ban will expand the scope of these restrictions. In the future, it will target American companies, including CPUs, GPUs, and AI accelerators, used in HPC fields such as datacenters, AI, and supercomputers. All of these items will require review before export to China. In addition, foundries may no longer be able to manufacture any of the above-mentioned HPC-related chips for any Chinese IC design house.

TrendForce believes, regardless of whether the client is a Chinese or American IC design house, most HPC-related chips are currently manufactured by TSMC with mainstream processes at the 7nm, 5nm, or certain 12nm nodes. In the future, whether the situation is American factories no longer being able to export to the Chinese market or Chinese factories being unable to initiate projects and mass produce wafer starts, it will all have a negative impact on the future purchase order status of TSMC’s 7nm and 5nm processes.

In terms of memory, according to the new specifications announced by the U.S. Department of Commerce, the DRAM portion of sanctions will be limited to the 18nm process (inclusive) and equipment must be reviewed by the Department before import. This move will greatly restrict or delay the sustainable development of China’s DRAM sector and China’s memory manufacturers will be the first to bear the brunt of these sanctions.

TrendForce indicates that CXMT possesses the largest memory market share for a Chinese company in the domestic Chinese market. Since 2Q22, the company has been committed to moving from the 19nm process into the 17nm process. Although the purchase of machinery to fulfill future needs had been accelerated before the ban, volume is still insufficient. CXMT continues to build new plants, including Phase 2 in Hefei and SMBC (SMIC Jingcheng), which is in discussion with SMIC. All of these projects will face difficulties in obtaining equipment in the future.

The C2 plant of SK hynix’s DRAM production center in Wuxi is also affected by the restriction order. The factory accounts for approximately 13% of the world’s total DRAM production capacity and its process has evolved to 1Ynm and more advanced nodes.

In terms of NAND Flash, TrendForce indicates that the import of NAND production equipment into China will be further restricted in the future, especially for equipment used in the manufacture of product of 128 layers and above (inclusive), requiring prior approval before import. It is estimated that this ban will significantly impact the long-term plans of China’s YMTC to upgrade its factory campuses, restrict YMTC from further expanding its customer base as the ban may will greatly limit non-Chinese customers’ adoption and consideration of YMTC products, and impact Samsung’s Xi’an plant and Solidigm’s process migration plan in Dalian.

U.S. temporarily exempts several suppliers as ban disrupts supply chains

In order to mitigate excessive impact of the U.S. imposed China chip ban on the semiconductor industry, the U.S. recently exempted several semiconductor companies (including in the United States, Taiwan, and South Korea) from certain restrictions.

According to Wall Street Jounal, Intel, SK Hynix, and Samsung have all received one-year exemptions. SK Hynix also issued a statement stating that the company has completed negotiations with the U.S. Department of Commerce and has obtained approval to provide equipment and items required for the development and production of DRAM semiconductors in Chinese manufacturing plants without additional licensing requirements. The authorization period is one year.

In addition, Nikkei Asia News also quoted sources as saying that TSMC has also received a one-year exemption to continue ordering U.S. chip manufacturing equipment to expand its Chinese plant. According to people familiar with the matter, the U.S. government has assured TSMC that the equipment will be shipped to its Nanjing fab, which means the company’s China’s development plan remains unchanged and is progressing smoothly.

(Image credit: iStock)

2022-10-17

[Chip War] US Department of Commerce Strengthens Restrictions on China, Future Chinese Server Demand May Face Negative Growth, Says TrendForce

Current U.S. sanctions on China have extended their reach to strike at HPC and sectors such as aerospace, automotive market, and military industry. TrendForce indicates, the market for high-end computing chips (including CPU, GPU, etc.) has borne the brunt of these restrictions at this stage, while those providing related storage such as DRAM and NAND Flash also face potential supply disruption. At present, this not only includes domestic companies in mainland China but also extends to related US-based suppliers. Among them, server companies that rely on high-intensity computing will face greater scrutiny.

Impact analysis on server terminal shipments

In terms of server terminal shipments, since relevant component suppliers have not yet been able to confirm whether services provided by the four major cloud service providers (CSPs) in China, Baidu, ByteDance, Alibaba, and Tencent, involve military use, before CSPs sign MOUs (memoranda of understanding), component manufacturers may temporarily delay shipments to the Chinese market. However, TrendForce believes, due to the fact that current CSP buyers’ component inventories remain sufficient, the short-term impact on global server market shipment performance is relatively low and long-term impact depends on the evolution of the US Department of Commerce’s rules.

Huawei and Sugon, two companies that have received attention at this stage due to the US ban, have previously withdrawn from the x86 server market and turned into cloud business providers and whole server delivery has been transferred to other domestic OEMs and outsourced computing power leasing, so as not to be affected by sanctions. However, due to the previous CPU ban, Sugon has turned to AMD to obtain authorization for localized chips, which may be significantly curtailed by this ban. In 2022, Sugon’s market share in the overall server market will be approximately 2.3% and 8.5% of the Chinese market.

TrendForce believes, it cannot be ruled out that relevant Chinese OEMs may have server products that may be rendered to government supercomputing centers in the future. Inspur, H3C, and Lenovo will face more exacting future scrutiny and, if consequences intensify, the mainland Chinese industrial chain may feel direct effects. Although commercial servers are not currently on the list of directly restricted items, if friction between the United States and China intensifies in the future, it cannot be ruled out that the U.S. Department of Commerce will add more potentially risky Chinese server OEMs and CSPs onto the UVL list. If certification cannot be realized within 60 days of being included in the UVL list, these entities will be included on the entity list. The worst case scenario will be a future trend of negative growth in Chinese server demand.

Since the restrictions enumerated in this ban are primarily concentrated in the HPC field, the greatest factor affecting Sugon is the company largely providing server OEM to government departments including in supercomputers, military aerospace, and government server farms. At present, there are 8 national-level supercomputing centers in mainland China and the supercomputer located in the center of Wuxi is the headquarters of China’s self-developed chips including the self-developed Sunway TaihuLight. As the U.S. Department of Commerce continues to strengthen its sanctions, China’s supercomputing technology and domestic research capabilities will be severely damaged in the future.

Impact analysis on GPU and CPU sectors

At present, companies utilizing high-end graphics cards are primarily concentrated in the HPC sector. In terms of CSPs, Alibaba and Baidu are the largest companies in mainland China. These two CSP companies account for up to 60% of the market share of GPU usage in China. Before the previous ban at the end of August, Chinese CSP operators had to submit purchase applications before procurement but they could not apply at all after the ban. However, based on the premise that buyer inventory levels on hand remain high and the supply of goods through distribution channels is sufficient, no effect on demand is forecast until 1H23. Nonetheless, it will be a challenge in the long-term. Since the ban expressly prohibits supercomputing center applications such as HPC, TrendForce assesses that GPU servers used by supercomputing centers will be directly affected, which accounts for up to 30% of China’s GPU market.

In terms of chip computing performance control, ECCNs 3A090 and 4A090 are newly added sanctioned items and chips with a total processing performance of more than 4,800 (inclusive) calculated by TOPS will be restricted. GPUs are usually used to directly assist in performing complex operations. Basically, NVIDIA’s A100 PCIe Gen4 and AMD’s MI250 OAM Module exceed the 4,800 limit. With new high computing performance products restricted in the future, development of server acceleration computing in China will take a hit.

However, the computing performance of most server CPU products is generally lower than the provisions of the ban. Only Chinese-made chips such as Tianjin Haiguang face direct restrictions and other CPUs such as Intel and AMD servers will not be subject to prohibition. At this stage, Intel and AMD will sign MOUs with relevant mainland Chinese manufacturers to ensure that related products cannot be used in military and supercomputing fields before shipment. In today’s server CPUs, the computing performance of the commonly used Intel Ice Lake CPU series does not reach the limit imposed by U.S. sanctions.

Impact analysis on the memory sector

At present, Samsung and SK hynix have also suspended their supply of product to Sugon. If Sugon can clarify procured memory is not used for supercomputing, domestic server products, etc., the parties will be able to reach a consensus for shipment. In the long run, Korean companies are evaluating whether they need a written commitment from each customer to disavow using purchasing memory products in supercomputers. Therefore, some memory shipments may be affected before documents are signed. The industry generally believes that market inventory remains relatively abundant and there will be no substantial damage to the market in the short term. As far as SSD is concerned, the greatest utilization remains in the category of AI/DL (Deep Learning), since most of the data trained from DL must be stored in faster and more convenient SSDs for use in inference scenarios. If the suspension of shipments caused by the current ban cannot be rectified by relevant buyer agreements, the development of Chinese server manufacturers in related AI/DL fields may be hamstrung and a calamitous decline in the market penetration rate of enterprise SSDs from international manufacturers cannot be ruled out.

Impact analysis on the networking sector

There are three reasons for a relatively minor impact assessment on the well-connected suppliers in the networking sector. First, there are numerous networking suppliers and many of them are in China. Since the demand for key components is relatively small, Chinese suppliers should be able to keep up. Second, the mainstream process in this field is a mature process and future expansion is less restricted. Third, from the perspective of supplier shipments, after foundry assembly, packaging, and testing, there are multiple distribution channels for the circulation of the final product and it will be difficult to determine whether terminals are military use. However, from the perspective of long-term impact, there is a high probability that Chinese manufacturers will give priority to China’s local supply chain in the future to ensure future supply. This move will undoubtedly deepen the resistance of other suppliers’ shipments to China, so it is necessary to open up multiple shipping channels to stabilize market share.

(Image credit: iStock)

2022-10-10

[Chip War] U.S. Department of Commerce Again Imposes Restrictions on China, Expanding Scope of Sanctions from Logic ICs to Memory Sector, Says TrendForce

The U.S. Department of Commerce announced new semiconductor restrictions on October 7 in the United States. In addition to existing restrictions on the logic IC sector, this new update extends to the memory category. In addition to Chinese-funded enterprises, the extent of these restrictions stipulate foreign-owned production centers located in China will also need to apply for approval on a case-by-case basis in order to continue to obtain manufacturing-related equipment. In addition, the new restrictions increase the difficulty for China to obtain any chips that may be used for military purposes through imports.

According to TrendForce research, the scope of this update is primarily limited to 16nm, 14nm, or more advanced proceses for logic ICs (such as FinFET or GAAFET), 18nm or more advanced processes for DRAM, and 128-layer or higher products for NAND Flash chips.

Analysis of impact on foundry industry

In terms of foundry equipment supply, after SMIC was included on the Entity List in 2020, according to TrendForce investigations, the US Department of Commerce targeted US equipment manufacturers who wished to export equipment used for processes below 16nm (inclusive) to Chinese fabs not included on the Entity List including HuaHong Group, etc., and even foreign-owned production centers located in China, instituting a review before export can be implemented. Therefore, most Chinese fabs are currently focusing their production expansions on processes 28nm and above. As for non-Chinese wafer foundries, only TSMC Nanjing is focused on 28nm expansion and has no plan for advanced processes.

TrendForce indicates, although Chinese fabs are actively partnering with domestic Chinese, European, and Japanese equipment manufacturers in an attempt to develop non-US centric production lines and have turned to the development of 28nm and above processes, the ban is completely stifling the possibility for China to develop and expand advanced processes 16nm and below and the expansion of processes 28nm and above is also subject to a protracted review process.

In addition, the US ban will expand the scope of its restrictions following the inclusion of high-end GPUs such as NVIDIA’s A100/H100 and AMD’s MI250 in the HPC sector into the range of sanctions at the end of August. In the future, it will target US manufacturers, including HPC sector CPUs, GPUs, and AI accelerators used in datacenter, AI, and supercomputer applications, requiring review before such items can be exported to China. In addition, foundries may no longer be able to manufacture any of the above-mentioned HPC-related chips for any Chinese IC design houses. TrendForce believes, regardless of whether the client is a Chinese or American IC design house, most HPC-related chips are currently manufactured by TSMC with mainstream processes at the 7nm, 5nm, or certain 12nm nodes. In the future, whether the situation is American factories no longer being able to export to the Chinese market or Chinese factories being unable to initiate projects and mass produce wafer starts, it will all have a negative impact on the future purchase order status of TSMC’s 7nm and 5nm processes.

Analysis of impact on memory industry

TrendForce indicates, according to the new specifications announced by the U.S. Department of Commerce, the DRAM portion of sanctions will be limited to the 18nm process (inclusive) and equipment must be reviewed by the Department before import. This move will greatly restrict or delay the sustainable development of China’s DRAM sector. CXMT possesses the largest memory market share for a Chinese company in the domestic Chinese market. Since 2Q22, the company has been committed to moving from the 19nm process into the 17nm process. Although the purchase of machinery to fulfill future needs had been accelerated before the ban, volume is still insufficient. CXMT continues to build new plants, including Phase 2 in Hefei and SMBC (SMIC Jingcheng), which is in discussion with SMIC. All of these projects will face difficulties in obtaining equipment in the future.

In addition to CXMT, the C2 plant of SK hynix’s DRAM production center in Wuxi is also affected by the restriction order. The factory accounts for approximately 13% of the world’s total DRAM production capacity and its process has evolved to 1Ynm and more advanced nodes, which means that subsequent continuous addition of equipment required for production requires approval on a case-by-case basis.

TrendForce has also observed, considering geopolitics, although current market demand is sluggish and supply and demand are seriously imbalanced, the three major manufacturers in the DRAM market still plan to increase production capacity in their home countries in the next 10 years and continue to reduce the proportion of production in China.

In terms of NAND Flash, TrendForce indicates that the import of NAND production equipment into China will be further restricted in the future, especially for equipment used in the manufacture of product of 128 layers and above (inclusive), requiring prior approval before import. It is estimated that this ban will significantly impact the long-term plans of China’s YMTC to upgrade its factory campuses as well as Samsung’s Xi’an plant and Solidigm’s process migration plan in Dalian.

TrendForce indicates that this ban will restrict YMTC from further expanding its customer base. At this stage, YMTC has been aggressively sending SSD products out for verification, hoping to successfully infiltrate the supply chain of non-Chinese customers in 2023. In the future, as the impact of the ban materializes, the US government will impose stricter restrictions on the development of China’s memory industry which will greatly limit non-Chinese customers’ adoption and consideration of YMTC.

(Image credit: iStock)

  • Page 1
  • 1 page(s)
  • 4 result(s)