Netherland


2024-01-03

[News] ASML Reports Partial Revocation of Export Licenses for DUV Equipment

Dutch semiconductor equipment leader ASML Holding N.V. has announced that export licenses for certain equipment have been partially revoked by the Dutch government.

In a press release issued on January 1st, 2024, ASML stated, “A license for the shipment of NXT:2050i and NXT:2100i lithography systems in 2023 has recently been partially revoked by the Dutch government, impacting a small number of customers in China. We do not expect the current revocation of our export license or the latest U.S. export control restrictions to have a material impact on our financial outlook for 2023.

The press release further stated, “In recent discussions with the US government, ASML has obtained further clarification of the scope and impact of the US export control regulations. The latest US export rules (published October 17, 2023) impose restrictions on certain mid critical DUV immersion lithography systems for a limited number of advanced production facilities.”

Bloomberg reported earlier on January 1st, 2024, citing unnamed sources, that several weeks before the implementation of export controls on advanced semiconductor equipment in the Netherlands, the U.S. government had requested ASML to cancel the export of certain machines destined for China.

Previously, ASML’s CEO, Peter Wennink, stated that these limitations would exclude the vast majority of Chinese customers in response to the U.S. restrictions. This exclusion is due to the fact that these customers are involved in mature nodes, specifically in the production of semiconductors at 28nm and above.

In addition, last week, the South China Morning Post has cited data, indicating that in November 2023, China had imported critical semiconductor manufacturing lithography equipment from the Netherlands, experiencing a significant surge of 1050% in import value.

In an interview with the South China Morning Post, Jan-Peter Kleinhans, Senior Researcher and Head of Technology and Geopolitics Projects at the Berlin-based think tank “Stiftung Neue Verantwortung” (New Responsibility Foundation), mentioned that the impact on sales would not be immediate following the new U.S. restrictions.

Reportedly, this is because ASML has a lead time of approximately 18 months. This implies that the equipment shipped in the fourth quarter of 2023 would have been ordered in the second or third quarter of 2022, and ASML would apply for export licenses at some point thereafter.

Read more

(Photo credit: ASML)

Please note that this article cites information from ASML and Bloomberg

2023-12-27

[News] Surge in Chip Manufacturing Equipment Imports from the Netherlands to China, Soaring Tenfold After U.S. Tightens Restrictions

In November 2023, China witnessed a remarkable 1050% surge in the import value of crucial chip manufacturing lithography equipment from the Netherlands, the primary exporter of photolithography equipment, according to the South China Morning Post.

This surge, measured in terms of value, indicates that Chinese semiconductor companies have managed to maintain a channel for ordering advanced equipment despite the tightened export restrictions imposed by the United States

Lithography equipment holds a paramount position among the ten types of equipment essential in the manufacturing process of integrated circuits (ICs).

Reportedly, despite substantial financial investments, China has been acknowledged to lag behind in this technology for many years. Despite allocating significant funds, the country has still struggled to narrow the gap with leading enterprises in this crucial aspect of IC manufacturing.

Meanwhile, in October, the U.S. Department of Commerce expanded its export control regulations on China, with the new provisions taking effect from November 2023.

These regulations specifically restrict the Dutch company ASML from selling certain immersion Deep Ultraviolet (DUV) lithography equipment to Chinese facilities engaged in advanced semiconductor manufacturing. Consequently, China’s import of equipment has seen a consecutive surge for nearly two months.

In November, China imported 16 lithography equipment units from the Netherlands, valued at USD 762.7 Million, marking a tenfold year-on-year increase. By comparison, in October, China imported 21 lithography equipment units valued at USD 672.5 million, with an average price difference of 46% per unit.

In November of this year, China imported a total of 42 lithography equipment, valued at USD 816.8 million, including 15 units from Japan. When combined, the imports from the Netherlands and Japan accounted for almost the entire amount spent by China on lithography equipment in November.

In response to the U.S. restrictions, ASML’s CEO, Peter Wennink, previously stated that these limitations would exclude the vast majority of Chinese customers. This exclusion is due to the fact that these customers are involved in mature or traditional semiconductor manufacturing, specifically in the production of semiconductors at 28nm and above.

Jan-Peter Kleinhans, Senior Researcher and Head of Technology and Geopolitics Projects at the Berlin-based think tank “Stiftung Neue Verantwortung” (New Responsibility Foundation), mentioned that the impact on sales would not be immediate following the new U.S. restrictions.

As per the report from South China Morning Post, this is because ASML has a lead time of approximately 18 months. This implies that the equipment shipped in the fourth quarter of 2023 would have been ordered in the second or third quarter of 2022, and ASML would apply for export licenses at some point thereafter.

Read more

(Photo credit: ASML)

Please note that this article cites information from South China Morning Post

  • Page 1
  • 1 page(s)
  • 2 result(s)