News

[News] Intel Foundry Unveils its Expanded Process Roadmap for AI Era at Direct Connect 2024 Event


2024-02-22 Semiconductors editor

On February 21st, Intel Foundry Direct Connect 2024 took place in San Jose, USA. During the conference, Intel announced the launch of Intel Foundry, a system-level foundry tailored for the AI era. They unveiled seven new process nodes beyond 2024, including the next-generation Intel 14A and 14A-E processes, which utilize High-NA EUV equipment.

In the reconstruction of Intel, Gelsinger had also articulated a vision to establish a world-class foundry and become a major chip capacity provider in the United States and Europe. Now, three years later, this vision is becoming a reality.

The newly introduced Intel Foundry is a rebranded and restructured organizational model. Gelsinger emphasizes that Intel is not merely fixing a company but “establishing two vibrant new organisations”: Intel Foundry and Intel Products. Intel Foundry is dedicated to serving both internal and external customers on a large scale, establishing a supply chain to ensure capacity.

Gelsinger stated that Intel Foundry is striving to become the world’s second-largest foundry by 2030. According to TrendForce’s data statistics for the third quarter of 2023, the world’s top three foundries were TSMC, Samsung, and GlobalFoundries, with Intel Foundry Services (IFS) ranking ninth at the time.

  • Process Innovation

During the conference, Intel expanded its process technology roadmap, introducing the evolution versions of Intel 14A and several specialized nodes.

Intel also confirmed that its “Four Years, Five Process Nodes” roadmap is progressing steadily, and it will be the first to offer backside power delivery solutions in the industry. Intel expects to regain process leadership by 2025 with the Intel 18A process node.

The new roadmap includes evolved versions of Intel 3, Intel 18A, and Intel 14A technologies. For instance, Intel 3-T is optimized for 3D advanced packaging designs through silicon via technology and is expected to be production-ready soon.

Intel also highlighted its progress in mature process nodes, such as the newly announced 12-nanometer node developed in collaboration with UMC in January.

Regarding this collaboration, TrendForce believes that this partnership, which leverages UMC’s diversified technological services and Intel’s existing factory facilities for joint operation, not only aids Intel in transitioning from an IDM to a foundry business model but also brings a wealth of operational experience and enhances manufacturing flexibility.

Intel’s foundry plans to introduce a new node every two years and evolve node versions along the way, helping customers improve their products through Intel’s leading process technology.

Source: Intel

Additionally, Intel Foundry announced the addition of FCBGA 2D+ in the technical portfolio of Intel Foundry Advanced System Packaging and Testing (Intel Foundry ASAT). This combination will include FCBGA 2D, EMIB, Foveros, and Foveros Direct technologies.

  • Microsoft Becomes Intel’s 18A New Client

Intel’s client have reportedly expressed support for Intel’s systemic foundry services. Satya Nadella, Chairman and CEO of Microsoft, announced during the Intel Foundry Direct Connect conference that Microsoft plans to utilize Intel’s 18A process to manufacture a chip designed by the company.

Satya Nadella stated, “We are in the midst of a very exciting platform shift that will fundamentally transform productivity for every individual organization and the entire industry.”

Nadella further mentioned, “To achieve this vision, we need a reliable supply of the most advanced, high-performance and high-quality semiconductors. That’s why we are so excited to work with Intel Foundry, and why we have chosen a chip design that we plan to produce on Intel 18A process.”

Intel Foundry has amassed a substantial number of client design cases across various processes, including Intel 18A, Intel 16, and Intel 3, as well as Intel Foundry ASAT, which encompasses advanced packaging.

Overall, the anticipated lifetime deal value for Intel Foundry in wafer manufacturing and advanced packaging surpasses USD 15 billion.

  • IP and EDA Suppliers

IP (Intellectual Property) and EDA (Electronic Design Automation) partners Synopsys, Cadence, Siemens, Ansys, Lorentz, and Keysight have announced that tools and IP are ready to help foundry customers accelerate advanced chip designs based on Intel’s 18A process, featuring the industry-first backside power delivery solution. Furthermore, these partners have confirmed the availability of their EDA and IP solutions across various Intel node families.

Additionally, several suppliers have announced plans to collaborate on assembly technologies and design flows for Intel’s EMIB 2.5D packaging technology. These EDA solutions will ensure Intel can swiftly develop and deliver advanced packaging solutions to its customers.

Intel has also unveiled the “Emerging Business Initiative” (EBI), which involves collaboration with Arm to provide advanced foundry services for System-on-Chip (SoCs) based on the Arm architecture. This initiative aims to support startups in developing technology based on the Arm architecture by offering essential IP, manufacturing support, and financial assistance. It provides an important opportunity for both Arm and Intel to foster innovation and development in the industry.

  • Systematic Foundry

Intel’s system-level foundry model offers optimization from factory networks to software. Intel and its ecosystem provide continuously improving technologies, reference designs, and new standards, enabling customers to innovate at the system level.

Stuart Pann, Senior Vice President of Intel Foundry, stated, “We are offering a world-class foundry, delivered from a resilient, more sustainable and secure source of supply, and complemented by unparalleled systems of chips capabilities. Bringing these strengths together gives customers everything they need to engineer and deliver solutions for the most demanding applications.”

  • Global, Resilient, More Sustainable, and Trustworthy Systematic Foundry

In terms of sustainability, Intel aims to be the leading foundry in the industry. In 2023, Intel’s global factories achieved a preliminary estimate of a 99% renewable energy usage rate.

At the Intel Foundry Direct Connect conference, Intel reiterated its commitment to reaching 100% renewable energy usage, water positive status, and zero landfill waste by 2030. Additionally, Intel emphasized its commitment to achieving net-zero Scope 1 and Scope 2 greenhouse gas (GHG) emissions by 2040 and net-zero upstream emissions of Scope 3 GHG by 2050.

Read more

(Photo credit: Intel)

Please note that this article cites information from Intel and IJIWEI.